1. 1、本文档共51页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
ASIC第五章

Chapter 5 Verilog Synthesis 术语RTL用于表示HDL的一种 风格:采用数据流和行为结构相 结合的方式进行描述。 一、什么是逻辑综合? 在标准单元库和特定的设计约束基础 上,把设计的高层次描述转换成优化的 门级网表的过程。 RTL → 门级描述 逻辑综合实现: 人工完成、计算机辅助完成 计算机辅助逻辑综合过程 提高了生产率,缩短了设 计周期,带来了数字设计行 业的革命。 计算机辅助逻辑综合工具的 出现使设计转化过程自动 化! 自动逻辑综合对数字设计行业的影响: 使设计者可以把更多的时间用于高层次描述。 采用高层次设计方法,人为的错误 会更少; 模块重新设计所需的反复时间更 短; 允许进行与工艺无关的设计; 使设计重用变为可能。 二、逻辑综合流程 例如:单个的if-else语 句被转换成2-1选择器; case语句被转换成多路 选择器。 翻译过程中不考虑面 积、时序和功耗等设计 约束。 逻辑综合流程: 为了使设计结果 满足时序、面积和 删除冗余逻辑。 功耗等设计约束, 主要使用布尔逻 还要进行与工艺相 辑化简技术。 关的优化。 使用工艺库 中提供的元件 来表示设计。 逻辑综合流程: 注意: • 翻译、逻辑优化和工艺 映射在逻辑综合工具内 部完成,对设计者是不 可见的; • 在选定了工艺后,设计

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档