FPGA的多功能温度控制器设计.docVIP

  1. 1、本文档共38页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA的多功能温度控制器设计

摘 要 本论文所设计的是一个基于FPGA的多功能数字温度控制器。本设计克服了传统数字温度计精度低的缺点,并且除了传统温度计的测量温度功能外还具有一定的控制功能,能更好的对所测量的温度进行处理,控制模块的加入让其比传统温度计具有更强的实用性。本设计采用EDA技术自上而下的设计思路,对系统的结构划分为温度采集模块、温度显示模块、输入数据对比模块,输出控制模块。在Quartus II软件下应用VHDL语言进行电路设计并仿真,根据仿真的结果。该方案能够较好的实现测温功能并且能对温度进行有效的控制。 关键字:温度控制;FPGA;VHDL;    Abstract ?Designed by this paper is a multifunctional digital temperature controller based on FPGA. This design overcomes the drawback of traditional digital thermometer low accuracy, and in addition to the traditional thermometer temperature function also has the certain control function, can better handle and on the measured temperature control module to join its than traditional thermometer has stronger practicability. This design adopts the top-down design EDA technology, the structure of system is divided into temperature acquisition module, display module, the input data contrast module, output control module. Under the Quartus II software circuit design and simulation using VHDL language, according to the result of simulation. The scheme can achieve a better temperature measurement function and can carry on the effective control of temperature. KeyWords: Temperature control FPGA VHDL 目 录 第1章 绪论 1 1.1 课题背景及国内外研究概况 1 1.2 课题相关技术发展 1 1.3 课题研究的必要性 1 1.4 课题研究的主要内容 2 1.5 课题所设计的温度控制器的优点 2 第2章 FPGA的简介 3 2.1 FPGA的概述 3 2.2 FPGA的基本结构 3 2.3 FPGA系统设计流程 5 2.4 FPGA开发编程原理 6 第3章 DS18B20温度传感器简介 8 3.1 传统温度采集器件的简述 8 3.2 DS18B20的引脚 8 3.3 DS18B20内部结构 8 3.4 DS18B20的时序 10 3.5 DS18B20的工作原理 11 3.6 DS18B20的性能特点 12 3.7 DS18B20使用过程中的注意事项 12 第4章 Quarters II软件简介 13 4.1 Quartus II软件概况 13 4.2 软件界面简介 13 4.3 QuartusII的设计流程 14 第5章 温度控制器的设计总流程 17 5.1 温度控制器系统结构图 17 5.2 DS18B20温度采集模块的驱动设计 17 5.3 FPGA温度显示模块的设计 19 5.4 FPGA数据比较模块的设计 19 5.5 FLEX 10K开发箱上的下载 20 第6章 结论 22 附 录 23 附录1 23 基于FPGA的多功能温度控制器设计 第1章 绪论 1.1 课题背景及国内外研究概况 温度控制无论是在工业生产过程中,还是在日常生活中都起着非常重要的作用,而在当今,我国农村锅炉取暖,农业大棚,养鸡场内等多数都没有实用的温度控制系统,还有部分厂矿,企业还一直沿用简单的温度设备和纸质数据记录仪,无法实现温度数据的实时测量与控制。随着社会经济的高速发展,越来越多的生产部门和生产环节对温度控制精度的可靠性和稳定性等有了更高的要求,而且随着人们日常生活的不断提高,传统的温度控制器

文档评论(0)

ipad0a + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档