EDA课程设计-信号发生器与数字钟设计.pdfVIP

EDA课程设计-信号发生器与数字钟设计.pdf

  1. 1、本文档共28页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

实用文档

EDA综合设计报告

题目:信号发生器与数字钟设计

学院:电气信息学院

专业:通信工程

姓名:

学号:

指导老师:汪敏

EDA综合设计报告

第一部分:信号发生器

一、设计任务

要求设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波,

递减锯齿波,三角波,阶梯波,方波和正弦波六种信号,并能实现频率选择和幅

度调节,信号发生器的控制模块可以用数据选择器实现,六种信号的选择可以用

6选1数据选择器实现。

二、方案设计

(一)设计思路

1.利用VHDL语言,设计分频器,实现2分频,4分频,8分频及16分频,实现

频率选择功能,生成模块。与利用数据选择器原理设计的频率选择器模块相连,

实现频率选择输出。

2.利用VHDL语言,分别实现六种信号(递增/递减锯齿波,三角波,阶梯波,方

波和正弦波)的输出,生成模块。

3.将设计的各功能模块按要求连接,分配引脚接口,连接实验箱,进行硬件测试,

验证实现功能。

(二)程序流程

Clk输入六种波形输出

时钟频率波形波形幅度选择

输入选择模块选择调节波形

(三)设计软件

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完

成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统

一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,

使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第

三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三

方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,

可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开

发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的

开发平台。

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻

辑设计的全部特性。

三、硬件设计

2

EDA综合设计报告

(一)分频器

分频器的功能是:利用对时钟上升沿计数,从而实现可以输出二分频,四分

频,八分频及十六分频模块,分频器模块是为了实现信号发生器的频率选择功能。

在VHDL编程中,设置一个4位标准逻辑矢量,并循环计数,分别输出它的每一

位,即实现了分频功能。生成的模块如图3-1示。

图3-1

利用波形仿真工具,生成改分频器的波形图,如下图3-2示,该编程实现了分

频功能。

图3-2

(二)频率选择器

频率选择器利用四选一数据选择器原理,与分频器结合实现各种分频的频率

选择输出,接入各个信号的CLK输入接口。四选一数据选择器有四种实现方式:

if语句,case语句,条件信号赋值语句及选择信号赋值语句。本次设计采用条

件信号赋值语句。s1,s2为输出选择端,q输出选择的频率,生成的模块如图3-3

示。

图3-3

利用波形仿真工具,生成的频率选择器波形如图3-4示。

图3-4

文档评论(0)

177****3106 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档