- 1、本文档共34页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA 实现RS-232 串口收发的仿真过程(Quartus+Synplify+ModelSim)(2007-09-11
12:17:37)
网上关于RS-232 的异步收发介绍得很多,最近没事学着摸索用ModelSim 来做时序仿真,
就结合网上的参考资料和自己的琢磨,做了这个东西。
针对我这个小程序结合FPGA 的开发流程,主要走了以下几步:
1. 文本程序输入(Verilog HDL )
2. 功能仿真(ModelSim,查看逻辑功能是否正确,要写一个Test Bench )
3. 综合(Synplify Pro ,程序综合成网表)
4. 布局布线(Quartus II,根据我选定的FPGA 器件型号,将网表布到器件中,并估算出相
应的时延)
5. 时序仿真(ModelSim,根据时延做进一步仿真)
这里贴出我的程序和各个详细步骤,能和各位正在学习的新手们一起分享。
0. 原理
略
一、文本程序输入(Verilog HDL )
发送端:
module trans(clk,
rst,
TxD_start,
TxD_data,
TxD,
TxD_busy
);
input clk,
rst,
TxD_start;
input[7:0] TxD_data; // 待发送的数据
output TxD, // 输出端口发送的串口数据
TxD_busy;
reg TxD;
reg [7:0] TxD_dataReg; // 寄存器发送模式,因为在串口发送过程中输入端不可能一直保
持有效电平
reg [3:0] state;
parameter ClkFrequency = // 时钟频率-25 MHz
parameter Baud = 115200; // 串口波特率-115200
// 波特率产生
parameter BaudGeneratorAccWidth = 16;
reg [BaudGeneratorAccWidth:0] BaudGeneratorAcc;
wire [BaudGeneratorAccWidth:0] BaudGeneratorInc =
((Baud(BaudGeneratorAccWidth-4))+(ClkFrequency5))/(ClkFrequency4);
wire BaudTick = BaudGeneratorAcc[BaudGeneratorAccWidth];
wire TxD_busy;
always @(posedge clk or negedge rst)
if(~rst)
BaudGeneratorAcc = 0;
else if(TxD_busy)
BaudGeneratorAcc = BaudGeneratorAcc[BaudGeneratorAccWidth-1:0] + BaudGeneratorInc;
// 发送端状态
wire TxD_ready = (state==0); // 当state = 0 时,处于准备空闲状态,TxD_ready = 1
assign TxD_busy = ~TxD_ready; // 空闲状态时TxD_busy = 0
// 把待发送数据放入缓存寄存器 TxD_dataReg
always @(posedge clk or negedge rst)
if(~rst)
TxD_dataReg = 8
else if(TxD_ready TxD_start)
TxD_dataReg = TxD_data;
// 发送状态机
always @(posedge clk or negedge rst)
if(~rst)
begin
state = 4b0000; // 复位时,状态为0000,发送端一直发1 电平
TxD = 1b1;
end
else
case(state)
4b0000: if(TxD_start) begin
state = 4b0100; // 接受到发送信号,
文档评论(0)