基于VHDL的数字时钟论文.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
摘要 随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是基于VHDL的数字时钟。采用EDA作为开发工具,VHDL语言为硬件描述语言,QUARTUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的亲近。 ABSTRACT With the EDA-based CPLD development and application of technology to expand the field of depth, EDA technology in electronic information, communications, automatic control with the importance of computer and other fields have become increasingly prominent.. As a professional electronics and information science students, we must continue to learn more about the new product information, which requires us to more EDA has a comprehensive understanding of。The program design is a simple flower design。The use of EDA as a development tool, VHDL language for hardware description language, MAX + PLUS II program runs as a platform for the development of procedures by running through the debugging, waveform simulation, the initial realization of the design goals。This procedure used in hardware description language VHDL, the hardware can significantly reduce the number of entry-level system design, give people the impression that a close relative of C language. 目 录 第一章概论 - 1 - 1.1课程背景: - 1 - 1.2课程目标 - 2 - 第二章电子钟的设计要求和工作原理 - 2 - 2.1设计要求 - 2 - 2.2 系统设计方案概述及工作原理 - 2 - 2.3 数字电子钟设计源程序 - 2 - 第三章开发工具简介 - 3 - 3. 1EDA技术 - 3 - 3. 2硬件描述语言—VHDL - 3 - 3. 3 VHDL的设计流程 - 4 - 第四章时钟设计模块 - 6 - 4.1时钟分频模块 - 6 - 4.2 计时模块 - 6 - 4.3显示模块 - 6 - 4.3.1 计时位选择电路 - 9 - 4.3.2 七段显示译码电路 - 11 - - 12 - 第六章 本次课程设计的心得体会 - 14 - 致谢 - 15 - 参考文献 - 16 - 引言 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 1 .1课程背景 FPGA系统设计初级班培训课程主要帮助学员尽快掌握 CPLD/FPGA 的开发流程和设计方法,以工程实践为例,循序渐进的学习FPGA

文档评论(0)

mx597651661 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档