四位二进制减法计数器.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
成 绩 评 定 表 学生姓名 xxx 班级学号 xxx 专 业 通信工程 课程设计题目 四位二进制减计数器 评 语 组长签字: 成绩 日期 20 年 月 日 课程设计任务书 学 院 信息科学与工程学院 专 业 通信工程 学生姓名 xxxxx 班级学号 xxxxx 课程设计题目 四位二进制减计数器(缺0100,0101,0110,1000,) 实践教学要求与任务: 1、了解数字系统设计方法 2、熟悉VHDL语言及其仿真环境、下载方法 3、熟悉Multisim环境 4、设计实现四位二进制减计数器(缺0100,0101,0110,1000) 工作计划与进度安排: 第一周 熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件描述语言设计,体会自上而 下、自下而上设计方法的优缺点。 第二周 在QuartusⅡ环境中用VHDL语言实现四位二进制减计数器(缺 0100,0101,0110,1000)显示结果波形,并下载到目标芯片上, 在实验箱上 观察输出结果。在Multisim环境中仿真实现四位二 进制减计数 器(缺0100,0101,0110,1000),并通过虚拟器验证其 正确性。 指导教师: 201 年 月 日 专业负责人: 201 年 月 日 学院教学副院长: 201 年 月 日 摘要 Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。…………………..…………………………………………….…1 二.课设题目实现框图…………………………………………………………..….1 三.实现过程…………………………………………………………………...……1 (一)VHDL的编译和仿真…………………………………………….……….1 1.建立工程……………………………………………………………….1 2.VHDL源程序……………………………………………………………4 3.编译及仿真过程.....................................................................................6 4.引脚锁定及下载……………………………………………………….9 5.仿真结果分析........................................................................................11 (二)电路设计..................................................................................................11 1求驱动方程.............................................................................................12 2.基于Multisim的设计电路………………………………...……...15 3.逻辑分析仪显示的波形………………………………………...…… 15 4.仿结果分析……………………………………………………………16 四.设计体会 ………………………………………………………………………16 五.参考文献................................................

您可能关注的文档

文档评论(0)

mx597651661 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档