采用等精度测频原理的频率计及设计.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
采用等精度 2.频率测量测量范围1~9999; 3.用4位带小数点数码管显示其频率; 二.测频原理及误差分析 1.常用的直接测频方法主要有测频法和测周期法两种。 2.测频法就是在确定的闸门时间Tw内,记录被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:fx=Nx/Tw。 3.测周期法需要有标准信号的频率fs,在待测信号的一个周期Tx内,记录标准频率的周期数Ns,则被测信号的频率为:fx=fs/Ns。 4.这两种方法的计数值会产生±1个字误差,并且测试精度与计数器中记录的数值Nx或Ns有关。为了保证测试精度,一般对于低频信号采用测周期法;对于高频信号采用测频法,因此测试时很不方便,所以人门提出等精度测频方法。 5.等精度测频方法是在直接测频方法的基础上发展起来的。 6.它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,测除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。 等精度测频原理波形图 7.在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。 8.首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。 然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。可以看出,实际闸门时间τ与预置闸门时间τ1并不严格相等,但差值不超过被测信号的一个周期 9.设在一次实际闸门时间τ中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。 10.标准信号的频率为fs,则被测信号的频率为 11.由式(1)可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差为 12.δ=(|fxc-fx|/fxe)×100% (2) 13.其中fxe为被测信号频率的准确值。 14.δ=|ΔNs|/Ns≤1/Ns=1/(τ·fs) 由上式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标准信号频率有关,即实现了整个测试频段的等精度测量。 闸门时间越长,标准频率越高,测频的相对误差就越小。 标准频率可由稳定度好、精度高的高频率晶体振荡器产生,在保证测量精度不变的前提下,提高标准信号频率,可使闸门时间缩短,即提高测试速度。 等精度测频的实现方法可简化为下图所示的框图 三.设计步骤 CNT1和CNT2是两个可控计数器,标准频率(fs)信号从CNT1的时钟输入端CLK输入;经整形后的被测信号(fx)从CNT2的时钟输入端CLK输入。 每个计数器中的CEN输入端为时钟使能端控制时钟输入。 当预置门信号为高电平(预置时间开始)时,被测信号的上升沿通过D触发器的输出端,同时启动两个计数器计数;同样,当预置门信号为低电平(预置时间结束)时,被测信号的上升沿通过D触发器的输出端,同时关闭计数器的计数。 系统组成 系统由分频器、计数器1、计数器2、D触发器等组成。 分频器出来的信号作为等精度测频原理的预置闸门信号。 其中D触发器,计数器2和计数器1的作用与前2页图中所示相同。 运算模块就是完成公式(1)的运算。 复位主要对分频、计数器1和计数器2进行清零操作。 采用等精度--文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 --最后修改日期:2004.4.14。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity PLJ is port(clk:in std_logic; --基准时钟(10KHz) tclk:in std_logic; --被测信号 start:in std_logic; --复位信号 alarm0,alarm1:out std_logic; --超量程,欠量程显示 dian:out std_logic_vector(3 downto 0); --小数点 data1:out integer range 0 to 9999); --频率数据 end PLJ; architecture behav of PLJ is signal q:integer range 0 to 9999; --预置闸门分频系数 signal q1:integer range 0 to 10000;

文档评论(0)

文档分享 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档