基于FPGA的快速中值滤波算法.pdfVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA的快速中值滤波算法.pdf

第26卷第1期 计 算 机 应 用 研 究 Vo1.26No.1 2009年 1月 ApplicationResearchofComputers Jan.2009 基于 FPGA的快速中值滤波算法水 王宇新,贺圆圆,郭 禾,龙 珠 (大连理工大学计算机科学与技术系,辽宁大连 116023) 摘 要:针对传统中值滤波算法排序量多、速度慢的缺点,提出了一种基于FPGA的中值滤波快速算法。充分 利用两个相邻滤波窗口中的相关排序信息,随着一列新像素的移入,同时更新已有的排序信息,从而完成中值滤 波 理。该算法将每个窗口查找中值的比较次数降到很低,达到了快速抑制噪声及保持图像细节的 目的。 关键词:现场可编程 门阵列 ;中值滤波;Verilog;实时图像处理 中图分类号 :TP391 文献标志码:A 文章编号 :1001—3695(2009)01—0224—03 FPGA-basedalgorithm offastmedianfilter WANG Yu—xin,HEYuan—yuan,GUO He,LONG Zhu (Dept.ofComputerScience&Technology,DalianUniversityofTechnology,DalianLiqoning116023,China) Abstract:Inordertosolvetheproblem thatthespeedofclassicalmedianfilterwasslowbecauseofalotofsorting,thispaper proposedanewalgorithmofmedianfilterbasedonFPGA.Itmadefulluseofthecoherenceofdataadjacentwindowsandcon— pletedthemedianfilterprocessingbyaddingthenewcolumnofpixelswhileupdatingtherestofthearrangedpixels.Thealgo— rithm Callreducethenumberofcomparisons,andachievethegoalofnoisesuppressionandimagedetailskeepinginafast speed. Keywords:FPGA;medianfilter;Verilog;real—timeimageprocessing 中值滤波是一种非线性滤波方法,既可以消除随机噪声和 素,对每个像素点及其邻域取中值需要进行36次比较排序运 脉冲干扰又可以很大程度地保留图像的边缘信息,近年来在图 算。可见,传统中值滤波算法虽然比较简单,但是运算量大,在 像平滑和数据分析与处理等多个领域中得到广泛应用。随着 FPGA中实现时需要消耗大量片上资源,速度慢,无法满足实 微电子技术与工艺的迅猛发展,现场可编程门阵列 (FPGA)以 时性要求。 其可编社 、低成本性、高逻辑密度和高可靠性,得到了越来越 广泛的应用。本文介绍了一种高效中值滤波器的设计及其在 2 算法分析 FPGA上的实现方案,并用Xilinx公司的开发工具 ISE8.2和 针对传统实现中值滤波方法的缺点,文献[3]中应用快速 Verilog硬件开发语言进行了仿真验证。 排序算法,以滤波窗口中所有元素值的平均值为界进行划分 (FSMF)。每次选取大集合以其均值进行划分,直到分解的两 1 传统中值滤波原理 个集合元素个数均小于滤波子窗口总元素个数的一半,再对两 传统中值滤波算法

文档评论(0)

docindoc + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档