32阶数字滤波器实验报告.doc

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
32阶数字滤波器实验报告,数字滤波器实验报告,一阶数字低通滤波器,二阶数字低通滤波器,数字滤波器的阶数,一阶数字滤波器,数字滤波器阶数,二阶数字滤波器,一阶高通数字滤波器,二阶数字环路滤波器

电子线路设计实验报告 名称: 基于FPGA的DDS和FIR滤波器的设计 学号: 112040557 姓名: 杨晨 院系: 电子科技与光学工程学院 指导教师: 蒋立平 时间:2012—04—07 【摘要】:本文主要讨论了DDS的设计和32阶FIR数字滤波器的设计。首先给出了DDS和滤波器的应用背景。接着给出了总体的DDS和滤波器的设计方案,给出了DDS和滤波器的详细设计过程,包括各个模块的设计思想,电路图,VHDL程序代码。 DDS的大致思想为通过频率控制字和相位控制字去控制正弦函数的ROM存储表的地址,达到输出需要波形的目的。数字滤波器大致为移位寄存器和乘法累加器的设计。 本实验的目的是通过DDS的输出正弦波形与经过滤波器的输出波形进行对比,达到滤波的效果。在此基础上,为了验证实验结果我们通过QuartusII的仿真工具对设计的DDS进行了仿真,并且还进行了理论分析,发现理论和实践结合的非常好。 除此以外,通过实际在实验板的调试,也发现了理论与实际不吻合时,要主动的查找错误,进而解决问题。 通过实验,我提升了自己的动手能力,解决未知问题的能力,查找资料的能力,把书本知识变为实际器件的能力,很有成就感。 【关键词】:DDS 数字滤波器 正弦波 VHDL 致 谢 首先感谢蒋立平老师对我在实验期间的悉心指导和耐心解答,其次要感谢安静同学为我指导一些注意事项,按时完成实验。在此要感谢安静,王文婷等同学在我写论文期间给我的一些建议和借鉴。 一、设计要求: 1.基本要求: (1)利用 QuartusII软件和SmartSOPC实验箱实现DDS的设计; (2)DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的ROM实现,ROM结构实现2^10X10类型; (3)具体参数要求:频率控制字 K 取 4 位;基准频率 fc=1MHz,由实验板上的系统时钟分频得到; (4)系统具有清零的功能; (5)利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形; (6)通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证。 二、总体设计方案论证 作者按:其实用FPGA设计一个DDS有很多种方法,可以用IP CORE去直接调用,可以用MATLAB中的DSP BUILDER 进行设计,也可以用VHDL语言和原理图进行设计,本次实验主要是对后者进行研究。 1.DDS的基本原理 DDS的基本原理是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用下图来表示。 图2.1 DDS基本原理结构 图中:字母K表示的是频率控制字;fclk表示时钟频率;fo表示DDS系统的输出频率;相位累加器是由一个N位的全加器和一个N位的相位寄存器构成的,相位累加器相当于以步长K做累加运算,累加的结果存储在相位寄存器中;我们把相位寄存器中的结果把作为地址码寻址ROM查找表。ROM查找表实际上就是一个相位/幅度转换设备,其中用二进制码存储着相位码以及对应的幅度码。相位寄存器的输出作为ROM查找表的地址(对应于查找表中的相位)进行寻址,每寻址一次,ROM查找表就输出一个对应的幅度值。 每来一个时钟脉冲fclk加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个时钟输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的输出频率就DDS输出的信号频率。 接着,把相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出(可以看成是一种映射),完成相位到相应幅值转换。波形存储器的输出送到 D/A 转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。D/A 转换器之后还可以加上一低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。而这个低通滤波器可以用 DSP编程完成,或者用FPGA编程实现。 DDS输出信号的频率与基准时钟频率的关系由下式给定: ……………………………………………(2.1) 其中,fclk 为基准时钟频率,2N为波形存储器的字数,N为相位累加器的位数,K为频率控制字。一般的,K小于N。 2.DDS的各模块设计: (1)分频电路 分频电路的功能是产生计数器正常工作所需要的频率(1HZ),基准频率(1MHz),关键vhdl代码如下: library ieee; use i

文档评论(0)

wdhao + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档