- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA中Block Ram的HDL描述法与分析
FPGA中Block Ram的HDL描述法与分析 2010-04-01 14:15 Block Ram作为一种固定资源存在于FPGA内,我们在设计中当然要好好加以利用,以便节省我们有限的LUT。使用Block Ram一般来讲有两种方法,一是用IP Core Generator,二是自己用硬件语言来描述。当然了,使用IP Core是最省事的一种做法,如今ISE的Core Generator的功能也很强大,可以帮助你定制一个符合你特殊设计要求的Block Ram。也正因为其界面很友好,大家使用起来应该也没什么难度,故这里不再赘述了,今天要说的是用HDL语言来描述Block Ram。这种方法虽然不像前者那么简便,但是好处就在于它的灵活性和可移植性。先来看看下面的VHDL代码:--BRam.vhd --Author:SCUT FPGA | Yili Chen --This file describes a block ramlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; entity BRam isgeneric ( ??? width: integer := 16;?? --Data width??? depth: integer := 8???? --Addr depth );port (??? clk : in std_logic;??? addr : in std_logic_vector(depth - 1 downto 0);??? cs : in std_logic;??? we : in std_logic;??? din : in std_logic_vector(width - 1 downto 0);??? dout : out std_logic_vector(width - 1 downto 0));end BRam; architecture Behavioral of BRam is type RamType is array(2 ** depth - 1 downto 0) of std_logic_vector(width - 1 downto 0);signal Ram : RamType; beginprocess(clk)begin??? if clkevent and clk = 1 then??????? if cs = 0 then??????????? if we = 1 then ??????????????? Ram(conv_integer(addr)) = din;??????????? else??????????????? dout = Ram(conv_integer(addr));??????????? end if;??????? end if;??? end if;end process;end Behavioral; 好了,以上就是所有代码,它描述了一个简单的单口Ram。通过更改generic中的width和depth参数,我们便可以定制不同数据宽度和地址宽度的block ram,最主要的优点是,用HDL语言描述的Ram具有良好的可移植性。让我们再来看看这个文件的综合报告(使用ISE 10.1 WebPack): =========================================================================*?????????????????????????? HDL Synthesis?????????????????????????????? *========================================================================= Performing bidirectional port resolution... Synthesizing Unit BRam.??? Related source file is H:/AESZigBee/BRam/HDL_Ram.vhd.??? Found 256x16-bit single-port RAM Mram_Ram for signal Ram.??? Found 16-bit register for signal dout.??? Summary:inferred?? 1 RAM(s).inferred 16 D-type flip-flop(s).Unit BRa
文档评论(0)