数字电路与逻辑实验报告.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字电路与逻辑实验报告

课程设计名称:四路彩灯显示系统 一 设计任务与要求 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯逐次渐亮,灯亮的时间为1s,共用4s; 第二节拍:四路彩灯按逆序渐灭,也需要4s; 第三节拍:四路彩灯同时亮0.5s,然后同时灭0.5s,要进行四次,所需时间也是4s。 三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 彩灯可用发光二极管(LED)模拟。 二 设计的整体思路,工作原理以及系统框图 1整体思路: 四路彩灯即有思路输出,设依次为Qd,Qc,Qb,Qa,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表输显示。表1 四路彩灯输出显示 说 明 输 出 所用时间 开机初态 0 0 0 0 第一节拍 逐次渐亮 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍 逆序渐灭 1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍 同时亮0.5s,然后同时灭0.5s,进行四次 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 有表可知,需要一个分频器起节拍产生和控制作用,每4秒一个节拍,3个节拍共12秒后又反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮,渐灭,同时亮,同时灭等功能。 2工作原理: 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 3系统框图: 四路彩灯显示系统方框图: 4单元电路设计及单元电路: (1)分频器:本实验中对脉冲实现分频可以用74LS161计数器实现分频的电路 (2)循环控制电路:如果摸N计数器的计数序列从最小1到最大数N,那么N+1是多余,也用与非门检测N,当N出现时,与非门输出为低,用它控制清零端(CR非),将计数器清零。此时工作状态从0001-1100,检测到1101时异步清零。 (3)彩灯花样输出电路: 运用到74LS194功能 输入 输出 功能 (CR非) S1 S0 CP SL SR D0 D1 D2 D3 Q0 Q1 Q2 Q3 0 X X X X X X X X X 0 0 0 0 清零 1 1 1 ↑ X X d0 d1 d2 d3 d0 d1 d2 d3 送数 1 0 1 ↑ X 1 X X X X 1 Q0 Q1 Q2 右移 1 1 0 ↑ 0 X X X X X Q1 Q2 Q3 0 左移 5总电路设计电路图: 四路彩灯显示系统的工作过程如表2所示。74161的输出为;74194的输出为;四路彩灯的输出为。74194的工作方式控制端,。在第一节拍中,,74194实现右移功能,即在时钟脉冲作用下,把逐次移进;在第二节拍中,,74194实现左移功能,即在时钟脉冲作用下,把逐次反方向移进。由于前两个节拍中,门G关闭,输出为0,因此四路彩灯的输出。在第三节拍中,,74194仍然左移,一直保持为0000。此时,门G打开,时钟脉冲CP同时加到四个输出端,由于CP是1Hz秒脉冲,在1s时间内高电平和低电平持续时间均为0.5s,因此实现同时亮0.5s、同时灭0.5s,在4s内共进行4次。第三节拍结束后返回第一节拍,如此反复,实现四路彩灯循环显示。 表2 四路彩灯工作过程 说明 秒脉冲 74161 74194 彩灯输出 第一节拍 0 0 0 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 1 0 1 1 0

文档评论(0)

yaobanwd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档