FPGA设计基础 QuartusⅡ中宏功能模块的使用.ppt

FPGA设计基础 QuartusⅡ中宏功能模块的使用.ppt

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA设计基础 QuartusⅡ中宏功能模块的使用.ppt

西安邮电学院计算机系 西安邮电学院计算机系 本文观看结束!!! * * QuartusⅡ中 宏功能模块的使用 QuartusⅡ中宏功能模块的使用 QuartusⅡ软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用宏功能模块,可以减少工作量,加快设计的进程。 用户可以通过向导工具MegaWizard Plug-In Manager调用宏功能。 该向导工具帮助用户建立或修改包含自定义宏功能模块变量的设计文件,这些设计文件可以在用户的设计中进行实例化。 QuartusⅡ中宏功能模块的使用 在QuartusⅡ软件中使用MegaWizard Plug-In Manager对宏功能模块进行实例化的步骤如下: 1 选择菜单Tools ? MegaWizard Plug-In Manager(图1),或直接在原理图设计文件的Symbol对话框中点击MegaWizard Plug-In Manager(图2),则弹出对话框(图3) 。 图 1 图 2 QuartusⅡ中宏功能模块的使用 图 3 选择新建一个宏功能实例,进入下一步(图4)。 图 4 这里列举了可供调用的宏功能模块 数学运算功能类,包括代码纠正、 浮点加/减/乘法器、计数器、平方根 等功能模块。 逻辑门类功能类,包括与/或/非门 常数发生器、反相器模块等。 I/O接口功能类,包括数据收发器 锁相环、I/O缓冲模块等。 在系统调试类,包括串/并载入 SignalTap逻辑分析、虚拟JTAG 接口模块等。 寄存器类,包括各种ROM、RAM 和FIFO模块。 存储器类,包括各种参数化的锁存 器、移位寄存器模块等。 须购买的IP模块 QuartusⅡ中宏功能模块的使用 QuartusⅡ中宏功能模块的使用 下面是一个双口RAM的例化及调用过程: 图 5 在工程目录下设 置例化的文件名 选择输出语言 选择器件 选择双口RAM QuartusⅡ中宏功能模块的使用 图 6 选择端口模式- 分立的读写端口 选择读写模式- 按字节读写 QuartusⅡ中宏功能模块的使用 图 7 选择存储深度 选择字宽 选择例化时调用 的资-M4K资源/ 逻辑资源(LC) QuartusⅡ中宏功能模块的使用 图 8 选择异步 读写时钟 QuartusⅡ中宏功能模块的使用 选择读出端是 否加D触发器 图 9 图 10 QuartusⅡ中宏功能模块的使用 选择存储空间初始 化方式及初始值 图 11 QuartusⅡ中宏功能模块的使用 仿真与综合相关信息 图 12 QuartusⅡ中宏功能模块的使用 选取输出的文件 .bsf 文件: 图形编辑器中使用的宏 功能模块符号 .v文件: Verilog HDL实例化的宏功能模块包装文件 完成定制过程 QuartusⅡ中宏功能模块的使用 图 13 图形输入调用: 新建图形输入文件, 在空白区域双击左键 弹出元件选取对话框 如图,Project目录下 将出现实例化 ram4k 元件供调用 QuartusⅡ中宏功能模块的使用 也可在程序中嵌入以下语句实现调用: … ram4k ram4k ( .data ( ), .rdaddress ( ), .rdclock ( ), .wraddress ( ), .wrclock ( ), .wren ( ), .q ( ) ); … 这段程序将实现子程序模块的例化 图 15 图 14 QuartusⅡ中宏功能模块的使用 Altera的Megafunction是重要的设计输入资源。由于Megafunction是基于Altera底层硬件结构最合理的成熟应用模块的表现,所以在代码中尽量使Megafunction这类IP资源,不但能将设计者从繁琐的代码编写中解脱出来,更重要的是在大多数情况下Megafunction的综合和实现结果比用户编写的代码更优。 Megafunction包括Altera的参数化模块库(LPM,library of parameterized modules),器件专有的Megafunction模块,用Altera MegaCore IP生成工具调 用的IP Core,以及Altera Megafunction计划协作者(AMPP, Altera Megafunction Parterners Program)提供的第三方

文档评论(0)

蝶恋花 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档