PWM信号发生器的设计讲解.doc

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
学 号: 能力拓展训练 题 目 学 院 专 业 班 级 姓 名 指导教师 2015年 9月 6 日 摘要 本次能力拓展训练题目为产生脉宽调整信号,通过训练掌握相关的理论知识及实际处理方法,熟练使用常用EDA工具(如Quartus Ⅱ、Matlab/DSP Builder等)和硬件描述语言(如Verilog HDL等)设计所需应用程序、上机调试、模拟仿真、下载到目标芯片上运行验证,并对实验结果进行理论分析。 随着电力电子技术的飞速发展,PWM技术应用越来越广泛。同时PWM技术本身也发展迅速,各种新理论层出不穷。PWM技术结合了电力电子技术、计算机技术、现代控制理论,具有抗干扰性强、效率高、可靠性好等显著优点,已经在交流逆变、开关电源等领域得到广泛应用。 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 关键词: PWM信号 EDA工具 仿真 目录 1. 设计任务及要求 1 1.1设计任务 1 1.2设计要求 1 2.设计分析 1 2.1 QuartusⅡ简介 1 2.2设计方案分析 2 3设计组成与原理 2 3.1 系统组成 3 3.2 系统设计流程图 3 3.3 系统工作原理 4 4 模块的具体实现 4 4.1 分频模块的设计 4 4.1.1 基本设计思想 4 4.1.2 设计流程图 4 4.1.3 主要程序代码 5 4.1.4模块工作原理 6 4.2 用锯齿波比较法生成PWM信号 6 4.2.1 基本设计思想 6 4.2.2 设计流程图 7 4.2.3 主要程序代码 8 4.2.4 模块工作原理 8 5.调试及结果分析 9 5.1程序调试仿真图及结果分析 9 5.1.1 千分频模块 9 5.1.2 用锯齿波比较法生成PWM信号 11 5.2 调试中出现的错误、原因及解决方法 14 5.3使用VHDL语言编程的注意事项 15 心得体会 16 参考文献 18 附录 程序清单及程序注释 19 产生脉宽调整信号 1. 设计任务及要求 1.1设计任务 产生脉宽调整信号。 1.2设计要求 控制产生脉冲宽度可调的信号,通过示波器\指示灯查看。 2.设计分析 2.1 QuartusⅡ简介 QuartusⅡ是Altera提供的FPGA/CPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供应商之一。QuartusⅡ是在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plus Ⅱ的更新换代产品,其界面友好,使用环境便捷。它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。 QuartusⅡ设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verlog逻辑综合器。QuartusⅡ包括模块化的编译器。编译器包括 的功能模块有分析/综合器(Analysis Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interface)等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start来单独运行各个模块。还可以通过选择Compiler Tool,在Compiler Tool窗口中运行该模块来启动编译器模块。在Compile Tool窗口中,可以打开该模块的设置文件或报告文件,或打开其它相关窗口。 2.2设计方案分析 本次能力拓展训练主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。 方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM信号。 方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM信号。 方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过

文档评论(0)

美洲行 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档