- 1、本文档共99页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PLD是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型即: CPLD(Complex?PLD) FPGA (Field?Programmable?Gate?Array)。 3、ASIC与FPGA,CPLD之间的关系: 专用集成电路ASIC(Application Specific Integrated Circuit),相对于标准集成电路或通用集成电路而言,是一种为用户专门设计和制造的专用集成电路,有全定制、门阵列和标准单元ASIC之分。 相对于可编程器件来说ASIC成本低,但通用性差,一般不具有现场或在线编程能力; 开发ASIC是通过FPGA/CPLD来进行初期的设计和验证。 FPGA/CPLD具有高度的灵活性,支出在线编程和现场可编程能力,在无需更改整体硬件电路结构的情况下就可以修改电路系统;一旦产品设计成熟,硬件测试成功,即可流片量产,成为ASIC。 独立式键盘结构 显示电路 在数字逻辑电路中,可用74LS48(共阳)/74LS49(共阴)译码驱动电路来控制LED显示。 在FPGA中,用硬件描述语言设计一个译码驱动器,例如进行一个74LS48的功能设计。 FPGA实现LED静态显示控制 FPGA实现LED动态方式显示的控制电路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder is Port (seg:in std_logic_vector(3 downto 0 ); --四位二进制码输入 q3:out std_logic_vector(6 downto 0) ); --输出LED七段码 end decoder; architecture Behavioral of decoder is begin process(seg) begin case seg is --a,b,c,d,e,f,g --段码 when 0000 = q3=0000001; --0 when 0001 = q3=1001111; --1 when 0010 = q3=0010010; --2 when 0011 = q3=0000110; --3 when 0100 = q3=1001100; --4 when 0101 = q3=0100100; --5 when 0110 = q3=0100000; --6 when 0111 = q3=0001111; --7 when 1000 = q3=0000000; --8 when 1001 = q3=0000100; --9 when 1010 = q3=0001000; --A when 1011 = q3=1100000; --b when 1100 = q3=0110001; --C when 1101 = q3=1000010; --d when 1110 = q3=0110000 ; --E when 1111 = q3=0111000 ; --F when others = q3=1111111; end case; end process; end Behavioral; entity dynamic is Port ( clk,reset: in std_logic; din1 : in std_logic_vector(6 downto 0);--译码后的数据信号1 din2 : in std_logic_vector(6 downto 0); --译码后的数据信号2 din3 : in std_logic_vector(6 downto 0); --译码后的数据信号3 din4 : in std_logic_vector(6 downto 0); --译码后的数据信号4 shift: out std_logic_vector(3 downto 0); --位选信号 bus4 : out std_logic_v
文档评论(0)