实验四七段数码管的动态显示.docxVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验四七段数码管的动态显示

实验四 七段数码管的动态扫描显示 专业:电子信息科学与技术 姓名:高晓骏 学号:2012021199010 一.实验目的 (1)进一步熟悉Quartus II软件进行FPGA设计的流程; (2)掌握利用宏功能模块进行常用的计数器,译码器的设计; (3)学习和了解动态扫描数码管的工作原理的程序设计方法; 二.实验器材 (1)PC机 (2)Quartus II开发工具软件 三.实验原理 实验板上常用4位联体的共阳极7段数码管,其接口电路是把所有数码管的8个笔划段a-h同名端连在一起,而每一个数码管由一个独立的公共极COM端控制。 当向数码管发送字形码时,所有数码管都接收到相同的字形码时,但究竟是那个数码管亮,取决于COM端,这一端是由I/O控制的,所以就可以自行决定何时显示哪一位。动态扫描即采用分时方法,轮流控制各个LED轮流点亮。 在轮流点亮扫描过程中,每一位显示器的点亮时间是极为短暂的,但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上每个显示器并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。 四、实验要求: 实现显示0000-9999的十进制计数器。 五.实验内容及步骤 1.建立工程 建立名为leddisplay的工程,并建立顶层图。 2.设计计数时钟 设计一个分频器,对50MHz分频输出到计数器,让计数器以较慢的速度递增。 module int_div(clk,div_out); input clk; output reg div_out; reg [31:0] clk_div; parameter CLK_FREQ = D50_000_000; //系统时钟50MHz parameter DCLK_FREQ = D10; //输出频率10/2Hz always@(posedge clk) begin if(clk_div (CLK_FREQ/DCLK_FREQ)) clk_div = clk_div + 1; else begin clk_div = 0; div_out = ~div_out; end end endmodule 输入完成后,将该文件设为顶层实体,该命令在Project-Set as top-level Entity。 分析该设计文件:执行工具栏处的“Start Analysis Synthesis命令按钮,开始分析综合,此步骤在这里用于检查设计错误。 分析成功后要生成一个分频器的元件符号,执行File-Create Symbol files for current file,开始建立该文件的元件符号。 3.调用宏功能模块设计计数器 module cnt10000(qout,clr,clk); input clr; input clk; output[15:0] qout; reg[15:0] qout; always@(posedge clk) if(!clr) qout[15:0]=0; else if(qout[3:0]==9) begin qout[3:0]=0; if(qout[7:4]==9) begin qout[7:4]=0; if(qout[11:8]==9) begin qout[11:8]=0; if(qout[15:12]==9) qout[15:12]=0; else qout[15:12]=qout[15:12]+1b1; end else qout[11:8]=qout[11:8]+1b1; end else qout[7:4]=qout[7:4]+1b1; end else qout[3:0]=qout[3:0]+1b1; endmodule 输入完成后,将其设为顶层实体,检验后生成元件符号。 4.数码管扫描显示程序设计(不含小数点) module segmain(clk,reset_n,datain,seg_data, seg_com); input clk; input reset_n; input [15:0] datain; output [7:0] seg_data; output [3:0] seg_com; reg [3:0] seg_com; reg [7:0] seg_data; reg [3:0] bcd_led; reg [26:0] count; always@(posedge clk) begin if (!reset_n) count = 0; else count = count + 1; end alw

文档评论(0)

kaiss + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档