实验报告模板实验二7段数码显示译码器.docVIP

实验报告模板实验二7段数码显示译码器.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验报告模板实验二7段数码显示译码器

实验二 7段数码显示译码器 【实验目的】 设计七段显示译码器,并在实验板上验证 学习Verilog HDL文本文件进行逻辑设计输入; 学习设计仿真工具的使用方法; 【实验内容】 1.实现BCD/七段显示译码器的“ Verilog ”语言设计。 说明:7段显示译码器的输入为:IN0…IN3共5根, 7段译码器的逻辑表同学自行设计,要求实现功能为:输入“ 0…15 ”(二进制),输出“ 0…9…F ”(显示数码),输出结果应在数码管(共阴)上显示出来。 使用工具为译码器建立一个元件符号 设计仿真文件,进行验证。 编程下载并在实验箱上进行验证。 【实验原理】 七段数码管显示原理 【程序源代码】(加注释) module led7(IN,led7); input [3:0] IN; output [6:0] led7; reg [6:0] led7; always @(IN) begin case(IN) 4b0000:led7=7b0111111; 4b0001:led7=7b0000110; 4b0010:led7=7b0011011; 4b0011:led7=7b1001111; 4b0100:led7=7b1100110; 4b0101:led7=7b1101101; 4b0110:led7=7b1111101; 4b0111:led7=7b0000111; 4b1000:led7=7b1111111; 4b1001:led7=7b1101111; default:led7=7b0111111; endcase end endmodule 【仿真和测试结果】 【实验心得和体会】 通过本次实验我熟悉了数码管的工作原理,熟悉了EDA的整个工作流程 ,也初步熟悉了硬件描述语言verilog HDL。 《电子设计自动化》实验报告 2011~2012学年 第 一 学期 2010级 电子信息工程 专业 班级:178 学号:201017814 姓名: 崔青青 第 - 4 - 页 共 4 页

文档评论(0)

kaiss + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档