- 1、本文档共14页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
10电-第3章-3.10 VHDL描述风格
CPLD/FPGA技术与应用 第 3 章 VHDL设计初步 3.10 VHDL描述风格—(P172 5.8) VHDL的结构体用于具体描述整个设计实体的逻辑功能。 对于所希望的电路功能行为,可以在结构体中用不同的语句类型和描述方式来表达; 对于相同的逻辑行为,可以有不同的语句表达方式。 3.10 VHDL描述风格—(P172 5.8) 在VHDL中,这些描述方式(建模方法)称为描述风格,通常可归纳为三种: 行为(数学模型)描述:大部分只能用于系统仿真,少数的可以进行逻辑综合; 数据流(寄存器传输,RTL)描述:可以逻辑综合; 结构(逻辑元件连接)描述:可以逻辑综合。 三种描述方式分别从不同的角度对硬件系统进行行为和功能描述。在实际应用中,为了能兼顾整个设计的功能、资源、性能几方面的因素,通常混合使用这三种描述方式。 3.10.1 行为描述(Behavioral Style) 如果VHDL的结构体只描述了所希望电路的功能或者说电路行为,而没有直接指明或涉及实现这些行为的硬件结构(包括硬件特性、连线方式、逻辑行为方式等),则称为行为描述。 行为描述有时被称为高级描述,只表示输入与输出间的转换行为,不包含任何结构信息。 行为描述的抽象程度最高,最能体现VHDL描述高层次结构和系统的能力。 3.10.1 行为描述 行为描述的设计模型定义了系统的行为,这种描述方式通常由一个或多个进程构成;每一个进程又包含了一系列顺序语句,以算法的形式描述数据的变化和传送。 行为描述的优点在于设计工程师只需要描述清楚输入与输出的行为,即正确的实体行为、准确的函数模型和精确的输出结果,而无需关注实体的电路组织和门级实现。 3.10.2 数据流描述 数据流描述,也称RTL (Register Transfer Level,寄存器传输级)描述, 是一种以规定设计的各种寄存器形式为特征,然后在寄存器之间插入组合逻辑的描述方法。 它可以采用寄存器硬件一一对应的直接描述(显式地通过元件具体装配),或者采用寄存器之间的功能描述(通过推论作隐含的描述)。 3.10.2 数据流描述 数据流描述主要使用并行信号赋值语句来描述这种信号间的数据流转,既显式表示了该设计单元的行为,又隐式表示了该设计单元的结构。 数据流描述既可以描述时序电路,又可以描述组合电路;既含有逻辑单元的结构信息,也隐含表示某种行为。 由于RTL描述方式是建立在并行信号赋值语句描述的基础上,描述了数据流的运动路径、运动方向和运动结果,因此称为数据流描。 数据流描述是真正可以进行逻辑综合的描述方式,能比较直观地表述底层逻辑行为。 3.10.3 结构描述 结构描述是描述该设计单元的硬件结构,即该硬件是如何构成的,换句话说是指系统中各单元之间的构成关系是什么样的。 结构化描述方式就是在多层次的设计中,高层次的设计可以调用低层次的设计模块,或直接用门电路设计单元来构成一个复杂逻辑电路的方法。 在结构化描述中,建模的焦点是端口及其互连关系。 3.10.3 结构描述 结构描述主要使用元件例化语句或生成语句来完成硬件描述。 元件间的连接是通过定义的端口界面来实现的,其风格最接近实际的硬件结构,即设计中的元件是互联的。 结构化描述的建模步骤如下: 元件说明:用于描述局部接口; 元件例化:是要相对于其他元件来放置该元件; 元件配置:用于指定元件所用的设计实体。即对一个给定实体,如果有多个可用的结构体,则由配置决定模拟中所用的一个结构。 编写结构描述程序的主要步骤 绘制框图。先确定当前设计单元中需要用到的子模块的种类和个数。对每个子模块用一个图符(称为实例元件)来代表,只标出其编号、功能(可用图符区别或文字注记)和接口特征(端口及信号流向),而不关心其内部细节。 元件说明。每种子模块分别用一个元件声明语句来说明。 信号说明。为各实例元件之间的每条连接线都起一个单独的名字,称为信号名。利用SIGNAL语句对这些信号分别予以说明。 元件例化。根据实例元件的端口与模板元件的端口之间的映射原理,对每个实例元件均可写出一个元件例化语句。 添加必要的框架,完成整个设计文件。 【补充1】行为描述——4位等值比较器 【补充2】数据流级描述——4位等值比较器 【补充3】结构描述——4位等值比较器 【补充3】结构描述——4位等值比较器 CPLD/FPGA技术与应用 孙静 物理与机械电子工程学院 Library ieee; Use ieee.std_logic_1164.all; Entity eqcomp4 is Port (a,b: in std_logic_vector (3 downto 0); equals: out std_logic); End eqcomp4; Architecture behaviora
文档评论(0)