徐啸宇FPGA课程设计方案.docx

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
徐啸宇FPGA课程设计方案

学 号: 0121309340101 课 程 设 计 题 目DAC0832接口电路学 院信息工程学院专 业通信工程班 级1305姓 名徐啸宇指导教师陈适 2016年01月01日 课程设计任务书 学生姓名: 徐啸宇 专业班级: 通信1305 指导教师: 陈适 工作单位: 信息工程学院 题 目: DAC0832接口电路及程序设计 试验目的: (1)进一步了解VHDL语法在实际生产生活中的应用 (2)了解并掌握DAC0832接口电路及程序代码的编写 试验内容: (1)编写DAC0832接口电路的控制程序,使DAC0832能产生矩形波。 (2)用modelsim仿真源程序,确定所编写的程序是否可以正确地执行 (3)掌握仿真软件modelsim的使用方法; (4)完成对DAC0832接口电路设计,并对仿真结果进行分析。 摘 要 本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。 本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。 关键词:DAC0832;VHDL;Quartus ii 1 基本原理 1.1 系统背景 现场可编程逻辑门阵列FPGA,与PAL、GAL器件相比,他的优点是可以实时地对外加或内置得RAM或PROM编程,实施地改变迄今功能,实现现场可编程(基于EPROM型)或在线重配置(基于RAM型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGA DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个D/A芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。 1.2 FPGA最小系统简介 图1.1 FPGA最小系统 FPGA最小系统是可以使FPGA正常工作的最简单的系统。它的外 围电路尽量最少,只包括FPGA必要的控制电路。 一般所说的FPGA的最小系统主要包括:FPGA芯片、下载电路、外部时钟、复位电路和电源。如果需要使用NIOS II软嵌入式处理器还要包括:SDRAM和Flash。一般以上这些组件是FPGA最小系统的组成部分 。如图1.1所示。 2.实验步骤: 2.1创建工程: 选择工程目录文件夹 选择FPGA开发板的芯片型号 新建VHDL文件 2.2编写源程序代码: 本次实验的源程序代码如下所示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity DAC0832 is port(clk:in std_logic; --系统时钟 rst:in std_logic; --复位信号 ile:out std_logic; --数据锁存允许信号 cont:out std_logic; --控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0)); --波形数据输出 end DAC0832; architecture behav of DAC0832 is signal q:integer range 0 to 63; --计数器 signal data:std_logic_vector(7 downto 0); --波形数据 begin process(clk) begin if rst=1 then q

文档评论(0)

baobei + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档