EDA设计参考程序完整版,合计31题说课.doc

  1. 1、本文档共43页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
1、设计一个带计数使能、异步复位、带进位输出的减1三位二进制计数器,结果由共阴极七段数码管显示。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port(clk,en,clr:in std_logic; ledout:out std_logic_vector(6 downto 0); co:out std_logic); end counter; architecture a of counter is signal cnt:std_logic_vector(2 downto 0); signal hex:std_logic_vector(2 downto 0); begin process(clk) begin if clr=1then cnt=(others=0); elsif(clkevent and clk=1)then if en=1then if cnt=000then cnt=111; co=1; else cnt=cnt-1; co=0; end if; end if; end if; end process; hex=cnt(2 downto 0); with hex select ledout=0000111when111, 1111101when110, 1101101when101, 1100110when100, 1001111when011, 1011011when010, 0000110when001, 0111111when others; end a; 2、设计一个带计数使能、同步复位、带进位输出的增1十进制计数器,计数结果由共阴极七段数码管显示。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY counter3 IS PORT (clk, clr,en : IN STD_LOGIC; co : OUT STD_LOGIC; ledout : OUT STD_LOGIC_VECTOR(6 downto 0)); END counter3; ARCHITECTURE a OF counter3 IS SIGNAL cnt : STD_LOGIC_VECTOR(3 downto 0); SIGNAL led : STD_LOGIC_VECTOR(6 downto 0); BEGIN PROCESS (clk) BEGIN IF (clkEVENT AND clk = 1) THEN IF clr = 1 THEN cnt = (OTHERS = 0); ELSIF EN = 1 THEN IF cnt = 1001 THEN cnt = 0000; co= 1; ELSE cnt = cnt + 1; co= 0; END IF; END IF; END IF; END PROCESS; ledout = NOT led; WITH cnt SELECT led=1111001 WHEN 0001, --1 0100100 WHEN 0010, --2 0110000 WHEN 0011, --3 0011001 WHEN 0100, --4 0010010 WHEN 0101, --5 0000010 WHEN 0110, --6 1111000 WHEN 0111, --7 0000000 WHEN 1000, --8 0010000 WHEN 1001, --9 1000000 WHEN others; --0 END a; 3、 设计一个带计数使能、异步复位、同步装载的可逆三位二进制计数器,计数结果由共阴极七段数码管显示。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned

文档评论(0)

舞林宝贝 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档