qurtusii 10位计数器.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
qurtusii 10位计数器

实验三 计数器设计 实验目的 掌握时序电路的设计方法。 掌握带有复位和时钟使能的十六进制计数器的原理。 掌握计数器的设计方法。 学习VHDL语言设计较复杂的电路方法。 实验仪器设备 PC机一台。 Quartus II开发软件一套。 实验要求 预习计数器的相关知识。 用VHDL方式完成程序设计。 设计一个带异步复位和同步时钟使能的十六进制加法和减法计数器,以及十进制加法计数器,并分别仿真。 实验任务和原理 所谓同步或异步计数器都是相对于时钟信号而言的,不依赖于时钟而有效的信号称为异步信号,否则称为同步信号。本实验要求设计一个带有异步复位和同步时钟使能的十六进制加法和减法计数器,以及一个十进制加法计数器。 设计一个带有异步复位和同步时钟使能的十六进制加法计数器 利用VHDL语言设计一个带有复位和时钟使能的十六进制加法计数器。 设CLK为时钟使能信号,RST为复位信号,EN为计数器使能信号,COUT为计数输出端,Co为进位输出端。电路模块符号如图1所示。 设计一个带有异步复位和同步时钟使能的十六进制减法计数器 图1 带有复位和时钟使能 利用VHDL语言设计一个带有复位和时钟使能 的十六进制计数器 的十六进制减法计数器,电路符号如图1所示。 设CLK为时钟使能信号,RST为复位信号,EN为计数器使能信号,COUT为计数输出端,Co为借位输出端。电路模块符号如图1所示。 设计一个十进制加法计数器。 实验报告及总结 根据实验内容,写出设计方案。 分析计数器实验原理。 写出VHDL程序,画出仿真波形图。 总结带有复位和时钟使能的十六进制计数器电路设计的方法。

文档评论(0)

cj80011 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档