- 1、本文档共4页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL语言实现的任意整数分频器
VHDL语言实现的任意整数分频器。
fpga中,一般外接的晶振是50Mhz,如果电路中一个模块需要25mhz时钟,那么进行一个2分频,这个是相当容易的,下面是一种方法,还有可以用一个二进制计数器实现。这里就不写代码了。easy.同样的原理 ,四分频也很容易。process clk --clk输入时钟;beginif rst 0 then --rst复位信号;clkout 0;elsif clk;event and clk 1 thenclkout not clk;end if;end process;但是如果实现一个三分频呢?? 是不是3分频器应该是每1.5的clock就0变1、1变0,但问题来了,哪来的1.5个clock?计数器并不能产生1.5!!正源触发与负源触发的间隔时间刚好是0.5个clock?所以我们产生两个clock,一个是posedge clk,一个是negedge clk,最后将两个clock做or,这样就可以产生出0.5个clock了。下面给出代码:::library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clk_div_n isport clk : in std_logic;rst : in std_logic; clkout :out std_logic ;end clk_div_n;architecture rtl of clk_div_n isconstant n : integer range 0 to 10 : 6; --这里的n可以是任意值,当然要大于1.signal clk_p : std_logic;signal clk_n : std_logic;signal cnt_p : integer range 0 to n;signal cnt_n : integer range 0 to n;begin process clk_p, clk_n beginif n mod 2 0 thenclkout clk_p;elseclkout clk_p or clk_n;end if;end process;process clk, rst beginif rst 0 thencnt_p 0;elsif clkevent and clk 1 thenif cnt_p n-1 thencnt_p 0;elsecnt_p cnt_p + 1;end if;end if;end process;process clk, rst beginif rst 0 thenclk_p 0;elsif clkevent and clk 1 thenif cnt_p n/2 thenclk_p 1;elseclk_p 0;end if ;end if;end process; process clk, rst beginif rst 0 thencnt_n 0;elsif clkevent and clk 0 thenif cnt_n n-1 thencnt_n 0;elsecnt_n cnt_n + 1;end if;end if;end process;process clk, rst beginif rst 0 thenclk_n 0;elsif clkevent and clk 0 thenif cnt_n n/2 thenclk_n 1;elseclk_n 0;end if ;end if;end process; end rtl; 接下来我给出对应的testbench::有兴趣可以用make a simulation in modelsim LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_arith.all ; USE ieee.std_logic_unsigned.all ; ENTITY clk_div_n_tb IS END clk_div_n_tb; ARCHITECTURE clk_div_tb_arch OF clk_div_n_tb ISSIGNAL cl
文档评论(0)