- 1、本文档共9页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
293-D_A接口设计
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
9.3 D/A接口设计
D/A转换器概述
l
l
D/A转换器输入的是数字量,经转换后输出的是模拟量
。有关D/A转换器的技术性能指标很多,例如分辩率、
建立时间、接口形式、偏移量误差以及线性度等等。
D/A转换器有2大类:一类是在电子线路中使用,不带
使能控制端口和控制端口,只有数字量输入和模拟量
输出;另外一类带有使能控制端口,可以与微机直接
连接。在本书电路板中采用后者中的DAC0832,以实
现数字信号到模拟信号的转换,下面将重点介绍该芯
片的结构及使用。
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
DAC0832
l转换精度:8位;
l单电源供电:+5V~+15V;
l基准电压:±10V;
l电流建立时间:1μS;
l工艺:CMOS;
l低功耗:20mW;
l封装:20引脚,DIP
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
FPGA与DAC0832接口电路原理图
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
FPGA与DAC0832接口电路设计
n
n
n
n
P1_3~10向DAC0832的数据输入口(DI0~DI7)
输送数据。
P1_2提供DAC0832数据锁存允许控制信号ILE,高
电平有效。
P1_1提供DAC0832控制信号(CS:片选信号;),
低电平有效。
Iout1、Iout2、Rfb与运算放大器LM324完成电流/
电压的转换
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
DAC0832 输出控制时序图
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
DAC0832 时序
l
根据DAC0832 输出控制时序,利用接口电路图
,通过改变输出数据设计一个锯齿波发生器。
DAC0832是8位的D/A转换器,转换周期为1μs
。锯齿波形数据可以由256个点构成,每个点
的数据长度为8位。又因为FPGA的系统时钟为
50MHz,必须对其进行分频处理,这里进行64分
频,得到的锯齿波的频率为762.9Hz。
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
DAC0832 接口电路程序
l
l
l
l
l
l
l
l
l
l
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity DAC0832 is
port(clk:in std_logic; --系统时钟
rst:in std_logic; --复位信号
ile:out std_logic; --数据锁存允许信号
cont:out std_logic; --控制信号
data_out:out std_logic_vector(7 downto 0)); --波形
数据输出
l
end DAC0832;
接下页
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
l
l
l
l
l
l
l
l
l
architecture behav of DAC0832 is
signal q:integer range 0 to 63; --计数器
signal data:std_logic_vector(7 downto 0); --波形数据
Begin
process(clk)
begin
if rst=1 then q=0; --复位,对计数器q清零
elsif clkevent and clk=1 then
if q=63 then q=0; --此IF语句对系统时钟进行64分频
接下页
2007年2月10日
第1页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
l
l
l
l
l
l
l
l
if datathen data
else data=data+1;
end if;
else q=q+1;
end if;
end if;
end process;
ile=1;cont=0;data_out=data; --ile、cont赋值;波形
数据输出;
l
end behav;
2007年2月10日
第1页
文档评论(0)