- 1、本文档共5页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA 七人表器设计
EDA课程设计报告书
课题名称 七人表决器设计 姓 名 学 号 院、系、部 电气系 专 业 电气工程及其自动化 指导教师 2010年 7 月3日
设计任务及要求:
本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板的数码管显示P表示通过,小板的数码管显示同意的人数,否则D1_1熄灭表示一致反对。 指导教师签名: 2010年 月 日 二、指导教师评语:
指导教师签名: 2010年 月 日 三、成绩 指导教师签名: 2010年 月 日 七人表决器设计
一目的Quartus II软件的使用。
2.熟悉七人表决器的工作原理
3.熟悉EDA开发的基本流程。二要求三电路及连线管脚分配:
实验符号 对应附录符号 管脚 K1 K1 PIN_74 K2 K2 PIN_73 K3 K3 PIN_38 K4 K4 PIN_39 K5 K5 PIN_44 K6 K6 PIN_45 K7 K7 PIN_46 A A 62 B B 61 C C 60 D D 59 E E 58 F F 57 G G 56 m_Result D1_1 PIN_215
四说明五
六、程序设计如下:
library ieee;
use ieee.std_logic_1164.all;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity biao is
port reset : in std_logic ; xin: in std_logic_vector 6 downto 0 ; sel: out std_logic; xout : out std_logic_vector 6 downto 0 ;
xout1 : out std_logic_vector 6 downto 0 ;
end entity ;
architecture bev of biao is
begin process xin
variable j: integer : 0; begin j: 0; for i in 0 to 6 loop if xin i 1 then j: j+1; end if; end loop;
case j is when 0 xout1 1000000 ; when 1 xout1 1111001 ; when 2 xout1 0100100 ; when 3 xout1 0110000 ; when 4 xout1 0011001 ; when 5 xout1 0010010 ; when 6 xout1 0000010 ; when 7 xout1 1111000 ; when others null; end case;
if j 3 then
xout 1110011;
sel 1;
else xout 1111001;
sel 0;
end if;
end process;
end architecture bev;
七、设计总结:
通过这段时间的EDA课程设计,我更加熟练的掌握了EDA开发的基本流程,熟练的使用Quartus II软件进行编程,在大家的努力之下,我们完成了七人表决器的相关设计,在此我要感谢我的组员和在EDA设计中给于我们很多帮助的老师们。同时,我发现了自己的编程思路还不是很清晰,需要在以后的学习中加强锻炼。
八、参考文献:
[1] 延明,张亦化.数字电路EDA技术入门.北京:邮电大学出版社,2006
[2] 徐惠民,安德宁.数字逻辑设计与VHDL描述.北京:机械工程出版社,2002
[3]潘松 ,王国栋.VHDL实用教程.成都:电子科技大学出版社,2001
urban area 2.5.2 Yibin city in Yibin city, according to resource and environment characteristics Urban population and urban spatial distribution, spatial distribution of industries, major infrastructure Corridor layout, combined with the
您可能关注的文档
- CL_I电容电测试仪说明书.doc
- CMS-554全自动三坐标测量机 三次元测量仪.doc
- CNC加工中心雾收集净化系列.doc
- commnet耐特动态域名路由器必威体育精装版配置说明书.doc
- CoolDrie A8伺服驱动器在工业机器人上的应用.doc
- CoolMax-欧莱意戚吕飞.docx
- CPU虚拟技术Intel VT.doc
- CP控箱项目IO上市咨询(2013年必威体育精装版细分市场+募投可研+招股书底稿)综合解决方案.docx
- CRPG-WI108 571系列成品测试作业指导书.doc
- CRT电视墙拼系统.doc
- 第16课 国家出路的探索与列强侵略的加剧 课件--高一上学期统编版(2019)必修中外历史纲要上.pptx
- 第1课 中华文明的起源和早期国家课件(共50张PPT).pptx
- 第7课 隋唐制度的变化与创新 同步课件(共30张PPT).pptx
- 第13课 当代中国的民族政策 课件--高二上学期历史统编版(2019)选择性必修1.pptx
- 资本主义萌芽 课件--高三统编版(2024)历史二轮专题复习.pptx
- 选择性必修1第9课 近代西方的法律与教化 课件(共31张PPT).pptx
- 第48讲 当今世界发展的特点与主要趋势 课件--高三统编版(2019)必修中外历史纲要下一轮复习.pptx
- 第6课 从隋唐盛世与五代十国 同步课件(共32张PPT)必修 中外历史纲要(上).pptx
- 第4课 汉朝统一多民族封建国家的巩固 课件--高三统编版(2019)必修中外历史纲要上一轮复习.pptx
- 选必一第16课 中国赋税制度的演变 课件 (25张PPT).pptx
文档评论(0)