第4次课_AD_DA转换器.ppt

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第4次课_AD_DA转换器

4.15 A/D转换器 A/D转换器描述 4.15 A/D转换器 特性 Lpc2138 中的AD引脚 4.15 A/D转换器 A/D转换器描述 4.15 A/D转换器 A/D转换器内部结构 4.15 A/D转换器 使用A/D转换器的注意要点 演示 void InitSeg() { PINSEL0 = 0 IODIR0 = 0x3FFC; } void SegDisplay(unsigned short val) { IOPIN0 =val2; } int main() { unsigned int tmp; InitSeg(); PINSEL1=0x400000 ; /* 进行ADC模块设置,其中xn表示第n位设置为x(若x超过一位,则向高位顺延) */ AD0CR = (1 0) | // SEL = 1 ,选择通道0 (4 8) | // 40 + 1 ,即转换时钟为10/41=?MHz (0 16) | // BURST = 0 ,软件控制转换操作 (0 17) | // CLKS = 0 ,使用11clock转换 (1 21) | // PDN = 1 , 正常工作模式(非掉电转换模式) (0 22) | // TEST1:0 = 00 ,正常工作模式(非测试模式) (1 24) | // START = 1 ,直接启动ADC转换 (0 27); // EDGE = 0 (CAP/MAT引脚下降沿触发ADC转换) while(1){ do{ tmp=AD0DR; } while((tmp0==0); if(((tmp24)0x7)==0) tmp=(tmp6)0x3ff; SegDisplay(tmp); AD0CR |= (1 24) ; } return 0; } 4.15’ D/A转换器 10位数模转换器 电阻串联结构 缓冲输出 掉电模式 选择的转换速度与功率有关 管脚描述 4.15’ D/A转换器 Lpc2132/4/6/8有一个10-bit的DAC,它只有一个寄存器,很容易使用 DA输出正弦波 #include math.h PINSEL1 =(PINSEL1~(0x318))|0x218; while(1){ for(i=0;i=15;i++) { value = 1023.0*(sin(i*2*316.0) +1)/2.0 ; DACR = (((int)value)0x3ff)6; delay(); } } * A/D转换器的基本时钟由VPB时钟提供。可编程分频器可将时钟调整至逐步逼近转换所需的4.5MHz(最大)。如要要得到10位精度的结果,需要11个A/D转换时钟。 A/D转换器的参考电压来自V3A和VSSA引脚。 LPC2114/2124具有一个AD转换器,LPC2200系列具有两个AD转换器,它们具有如下特性: 10位逐次逼近式模式转换器; 测量范围:0~3.3V; 10位转换事件=2.44us; 可设置AD转换触发方式; 具有掉电模式。 模拟电源和地。它们分别与标称的V3和VSSD的电压相同,但为了降低噪声和出错几率,两者应当隔离。转换器的VrefP和VrefN信号在内部与这两个电源信号相连。 电源 V3A,VSSA 模拟输入。A/D转换器单元可分时测量这8个引脚上的输入信号电压。即使这些引脚设置为GPIO功能,仍可以使用A/D转换器部件。 输入 AIN7~AIN0 引脚描述 类型 引脚名称 A/D引脚描述 … ADDR A/D 转换控制电路 A/D数据寄存器 ADCR A/D控制寄存器 Fpclk AD转换时钟 VIC AIN0 AIN1 AIN7 … A/D转换器寄存器描述 … ADDR A/D 转换控制电路 A/D数据寄存器 ADCR A/D控制寄存器 Fpclk AD转换时钟 VIC AIN0 AIN1 AIN7 … … ADD

文档评论(0)

liudao + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档