1. 1、本文档共31页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL的物件(Objects)宣告 物件種類 (1) 訊號 (2) 變數 (3) 常數 VHDL的物件(Objects)宣告語法 物件種類 物件名稱 : 資料型態 [ := 初始值 ] ; VHDL的物件(Objects)宣告 訊號: 在VHDL中的訊號是指電路上的連接點,它包括實體中在埠(Port)後宣告的接腳及在結構(Architecture)中宣告的訊號(Signal)接點 VHDL的物件(Objects)宣告 (1) 訊號例: VHDL的物件(Objects)宣告 (2) 變 數 ( Variable ) VHDL中的變數與一般電腦語言中的變數一樣,提供在程式中做計數或運算用 例: variable example_var1 : std_logic := 0; ……… example_var1 := ‘1’; --使用變數example_var1及設定值=1 變數 變數物件被宣告在process或副程式中,我們稱它為process或副程式的區域變數 VHDL的物件(Objects)宣告 常 數 ( Constant ) 常數可以宣告在任何可宣告 區域,但它的數值經宣告後是 不可更改的 例: constant enable_bits : integer := 0; VHDL標準的資料型態 VHDL標準的資料型態 整數(integer) 整數(integer)型態是資料長度最長是32bits ,整數型態資料又可分有正負號(Signed)及無正負號(Unsigned)等兩種,指定資料型態前 您必需先宣告相關零件庫及使用相關包裝 包裝std_logic_1164及std_logic_signed主要是提供有正負號(Signed)型態用。 包裝std_logic_1164及std_logic_unsigned主要是提供無正負號(unsigned)型態用。 VHDL標準的資料型態 整數資料型態其長度雖然是32bits, 但它會隨實際的數值調整資料長度 到最短。 以調整最節省硬體的大小。 例: a := 15; 則 a 的資料長度只有4bits VHDL標準的資料型態 VHDL標準的資料型態 VHDL標準的資料型態 資料型態的轉換 在VHDL的std_logic_arith包裝中提供了轉換功能函數,做為不同型態資料的轉換,如: CONV_INTEGER(參數) 將括號內的參數轉換成整數型態, 轉換後的數值範圍在-2147483647 to 2147483647之間。 CONV_UNSIGNED(參數) 將括號內的參數轉換成無正負號型態。 CONV_SIGNED (參數) 將括號內的參數轉換成有正負號型態。 CONV_STD_LOGIC_VECTOR(參數) 將括號內的參數轉換成STD_LOGIC_VECTOR 。 配合資料型態的數值設定 配合資料型態的數值設定 Std_Logic /Std_Logic_Vector 標準邏輯資料型態 Std_Logic 及 Std_Logic_Vector 可指定以上九種數值 配合資料型態的數值設定 Two drivers onto a bus Resolution function R {A, B} predicts the result of two drivers to drive signals with values A and B onto a bus. R {A, B} B = 0 B = 1 B = X B = Z A = 0 0 X X 0 A = 1 X 1 X 1 A = X X X X X A = Z 0 1 X Z 使用者自訂資料型態 列舉資料型態: type type_name is ( value list ) ; 例: type traffic_light is ( red, yellow, green); …… signal lighting : traffic_light; …… lighting = red;

文档评论(0)

kabudou + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档