VHDL讲义第二章.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
________________________________________________________________________________________________________________________________________________________ VHDL语言设计技术——第二章 * 第2章 VHDL的对象、数据类型 和操作符 2.2 VHDL语言的对象 对客观实体的抽象和概括,可以被赋值的客体叫对象(即对象是用来保持数据的)。VHDL 中的对象有:常量、变量、信号 1、常量( Constant )赋固定值,不能被改变。在程序中不可以被赋值,全局量 语法:constant 常量名: 数据类型: = 初值或表达式; 例: constant VCC: real := 3.3V; constant DBUS: bit_vector := ; 2.2 VHDL语言的基础知识 2、变量( Variable ) 在程序中可以多次被赋值(用“: =”),赋值后立即变化为新值。是局部量,只能在进程、函数和过程语句使用 语法:variable 变量名: 数据类型: = 初值或表达式; 例:variable N: integer;variable N: integer range 0 to 99 := 0;variable a: bit_vector(7 downto 0); 2.2 VHDL语言的基础知识 3、信号( Signal )在程序中可以被多次赋值(用“=”) ,但不立即更新,要经过一段时间才生效。也是全局量。 语法:signal 信号名: 数据类型及约束 = 初值或表达式; 例:signal S: bit;signal Dbus: inout std_logic_vector(7 downto 0); 2.3.1 标准数据类型整数、实数、位、位矢量、字符、字符串、布尔量、物理数、错误等级等。 1、整数及自然数( integer、natural 32位) 表示范围:-(231-1)~ (231-1) 例如:1、234、- 不同数制的数值表示方法: (数制) ( # ) (数值文字) ( #)( E)指数隔离符号 (十进制指数, 指数的底为10) 例: a1 = 10#168#; a2 = 16#FA#E1; a3 = 2#1111_1110#E2; a4 = 8#376#; 2.3 VHDL语言的数据类型 2、实数(real),即浮点数 表示范围-1.0E38~+1.0E38 3、位、位串、位矢量( bit、 bit-vector) bit(位): 用单引号如‘0’ 和‘1’ 位串与文字字符串类似,但表示的是二进制、八进制或十六进制的数组 B——二进制,O——八进制, X——十六进制 例: B “0101_1011” x “F58C” bit-Vector(位矢量):用双引号 例如:“00110”十六进制表示: X“F58C” 对二进制数组,位串等价于位矢量 2.3 VHDL语言的数据类型 4、字符和字符串(character 、 string ) character 例如:‘a’、’n’、’1’、’0’ string 例如:“sdfsd”、”my design” 5、布尔量Boolean“ ture”和“false”,用于条件转向语句 6、物理数(physical) 时间:fs(飞秒), ps(皮秒), ns(纳秒),us(微秒), ms(毫秒), sec(秒), min(分), hr(时) time 例如:1 us、100 ms,3 s 2.3 VHDL语言的数据类型 7、 错误等级severity level (常和assert语句配合使用) 包含有:note、warning、error、failure以上类型是VHDL中的标准类型,在编程中可以直接使用。使用其它的类型,需要自行定义或指明所引用的Library(库)和Package(包)集合 2.3 VHDL语言的数据类型 2.3.2 自定义数据类型 1、物理类型 . 格式 type 数据类型名 is 范围 units 基本单位;单位条目; end units 例:type time is range -1E18 to 1E18 units us; ms=1000 us; sec=1000 ms; min=60 sec;end units 注意:引用物理数时,有的编译器要求量与单位之间应有一个空格如:1 ns;不能写为1ns; 2.3 VHDL语言的数据类型 2.3 VHDL语言的数据类型 2、枚举类型enumberat

文档评论(0)

kabudou + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档