- 1、本文档共19页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
北邮数电上实验报告.
北京邮电大学
数字电路与逻辑设计实验
学院:
班级:
姓名:
学号:
班内序号:
实验一 Quartus II原理图输入法设计
实验目的:
(1)熟悉Quartus II原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 图形模块单元的生成与调
(3)熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
三、实验任务要求
(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。
(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
(3)用VHDL语言实现全加器。
四、实验原理图和实验波形图
全加器实验原理图。
2、全加器实验波形图。
五、仿真波形分析
由仿真波形可以看出,当a,b,ci有两个或者两个以上为1时,产生进位,即co输出为1,而输出s则是当a,b,ci输入偶数个1时为0,奇数个1时为1,满足实验原理,仿真波形正确。
实验三 VHDL组合逻辑电路设计
一、实验目的:
(1)熟悉Quartus II原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 图形模块单元的生成与调
(3)熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
三、实验任务要求
(1)用VHDL语言设计将8421计数器,分频器和数码管译码器连接使用,实现在指定数码管滚动显示0-9,其余数码管不亮,并带有清零功能,并下载到实验板显示计数结果。
四、实验VHDL代码和仿真波形图
(1)VHDL代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(
clk,clr:in std_logic;
b:out std_logic_vector(6 downto 0);
cat:out std_logic_vector(5 downto 0));
end xianshi;
architecture a of xianshi is
signal ctmp:std_logic_vector(3 downto 0);
signal tmp:integer range 0 to 1249999;
signal clktmp:std_logic;
signal e:std_logic_vector(6 downto 0);
begin
p1:process(clk,clr)
begin
if clr=0 then
tmp=0;
elsif clkevent and clk=1 then
if tmp=1249999 then
tmp=0;clktmp=not clktmp;
else
tmp=tmp+1;
end if;
end if;
end process p1;
p2:process(clktmp)
begin
if clr=0 then ctmp=0000;
elsif(clktmpevent and clktmp=1)then
if ctmp=1001then
ctmp=0000;
else
ctmp=ctmp+1;
end if;
end if;
end process p2;
p3:process(ctmp,clr)
begin
if(clr=0)
then cat=111111;
else
case ctmp is
when0000=e=1111110;--0
when0001=e=0110000;--1
when0010=e=1101101;--2
when0011=e=1111001;--3
when0100=e=0110011;--4
when0101=e=1011011;--5
when0110=e=1011111;--6
when0111=e=1110000;--7
when1000=e=1111111;--8
when1001=e=1111011;--9
when others=e=0000000;
end case;
cat=1
您可能关注的文档
- 滏阳河衡水市区段综合整治效果分析.doc
- 北语翻译硕士各细分专业介绍..doc
- 北语郑贵友现汉讲义..doc
- 机械加工误差分析实验报告.doc
- 结算与偏差.doc
- 课题“提高课堂教学有效性策略研究”开题报告书.doc
- 2013综合能力真题.doc
- 光合作用和细胞呼吸综合训练及习题解析.doc
- 北邮DSP软件仿真MATLAB实验报告..docx
- 赤峰市2012年专业技术人员继续教育考试(满分100分答案).doc
- 2024至2030年中国人造棉面料行业投资前景及策略咨询报告.docx
- 重庆市渝中区遴选公务员2024年国家公务员考试考试大纲历年真题10340笔试历年典型考题及解题思路附.docx
- 2024至2030年中国甲基苯乙酮行业深度调研及发展预测报告.docx
- 2024至2030年中国羚羊角类饮片行业深度调查与前景预测分析报告.docx
- 重庆市面向中国农业大学定向选调2024届大学毕业生2024年国家公务员考试考试大纲历年真题14笔试历.docx
- 重庆市面向西北工业大学定向选调2024届大学毕业生00笔试历年典型考题及解题思路附答案详解.docx
- 中国不动杆菌感染治疗药行业市场现状分析及竞争格局与投资发展研究报告2024-2029版.docx
- 2024至2030年全球与中国ETL软件市场现状及未来发展趋势.docx
- 初中八年级(初二)生物下册期末考试1含答案解析.docx
- 干簧式继电器项目申请报告.docx
文档评论(0)