ADC0809做AD转换和DA转换的C程序..doc

  1. 1、本文档被系统程序自动判定探测到侵权嫌疑,本站暂时做下架处理。
  2. 2、如果您确认为侵权,可联系本站左侧在线QQ客服请求删除。我们会保证在24小时内做出处理,应急电话:400-050-0827。
  3. 3、此文档由网友上传,因疑似侵权的原因,本站不提供该文档下载,只提供部分内容试读。如果您是出版社/作者,看到后可认领文档,您也可以联系本站进行批量认领。
查看更多
ADC0809做AD转换和DA转换的C程序.

ADC0809做AD转换的C程序 #includereg52.h unsigned char code dispbitcode[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; unsigned char dispbuf[4]; unsigned int i; unsigned int j; unsigned char getdata; unsigned int temp; unsigned int temp1; unsigned char count; unsigned char d; sbit ST=P3^0; sbit OE=P3^1; sbit EOC=P3^2; sbit CLK=P3^3; sbit P34=P3^4; sbit P35=P3^5; sbit P36=P3^6; sbit P20=P2^0; sbit P21=P2^1; sbit P22=P2^2; sbit P23=P2^3; sbit P17=P1^7; void TimeInitial(); void Delay(unsigned int i);// void TimeInitial() { TMOD=0x10; TH1=(65536-200)/256;//定时时间为2us,亦即CLK周期为0.4us TL1=(65536-200)%256; EA=1; ET1=1; TR1=1; } void Delay(unsigned int i)//延时函数 { unsigned int j; for(;i0;i--) { for(j=0;j125;j++) {;} } } void Display()// { P1=dispbitcode[dispbuf[3]];//十位 P20=0; P21=1; P22=1; P23=1; Delay(10); P1=0x00; P1=dispbitcode[dispbuf[2]];//个位 P17=1;//显示小数点 P20=1; P21=0; P22=1; P23=1; Delay(10); P1=0x00; P1=dispbitcode[dispbuf[1]];//十分位 P20=1; P21=1; P22=0; P23=1; Delay(10); P1=0x00; P1=dispbitcode[dispbuf[0]];//百分位 P20=1; P21=1; P22=1; P23=0; Delay(10); P1=0x00; } void main()//主函数 { TimeInitial(); while(1) { ST=0;//关闭转换 OE=0;//关闭输出 ST=1;//开启转换 ST=0;//关闭转换 P34=0;//选择通道0 P35=0; P36=0; while(EOC==0);//判断是否转换结束:是则执行以下语句,否则等待 OE=1;//开启数据输出允许 getdata=P0;//将数据取走,存放在变量getdata中 OE=0;//关闭输出 temp=getdata*1.0/255*500;//电压值转换,5V作为参考电压,分成256份 dispbuf[0]=temp%10;//百分位 dispbuf[1]=temp/10%10;//十分位 dispbuf[2]=temp/100%10;//个位 dispbuf[3]=temp/1000;//十位 Display(); } } void t1(void) interrupt 3 using 0//定时器中断服务函数;作用:产生CLK信号 { TH1=(65536-200)/256; TL1=(65536-200)%256; CLK=~CLK; } AD7524做D/A转换的C程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity AD7524 is port(clk:in std_logic; --系统时钟 rst:in std_logic; --复位信号

文档评论(0)

dashewan + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档