《实验四全减器.docVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
《实验四全减器

实验四 全减器 一、实验目的设计并实现一个一位减法器 二、实验原理半减器不考虑低位向本位的借位。一位半减器由两个输入、两个输出。 表1 半减器真值表 输入 输出 Bi Ai Di Ci 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 由真值表可得到函数表达式: 在下图中,“进位入”Ci-1是指低位的进位输出,“进位出”Ci即是本位的进位输出。 原理图如下: 真值表 根据真值表写出逻辑表达式: 、实验步骤 1、建立工程fullsub,新建VHDL文件输入以下代码保存为fullsub1.vhdl。打开addern.vhdl文件,选择File? Creat/Update? Creat Symbol Files for Current Files 生成顶层符号文件。(参考实验一、二) ----------------------半减器程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity halfsub is port(a,b:in std_logic; d,c:out std_logic); end halfsub; architecture half1 of halfsub is begin d=((a and (not b))or ((not a)and b)); c=(not a)and b; end half1; -----------------------------------全减器程序 library ieee; use ieee.std_logic_1164.all; entity fullsub1 is port(ai,bi,ci:in std_logic; di,co:out std_logic); end fullsub1; architecture full1 of fullsub1 is component halfsub-----------声明半减器调用 port(a,b:in std_logic; d,c:out std_logic); end component; signal halfsub1_d,halfsub1_c,halfsub2_c:std_logic; begin----------------------------------------------------------调用半减器 halfsub1:halfsub port map (ai,bi,halfsub1_d,halfsub1_c); halfsub2:halfsub port map (halfsub1_d,ci,di,halfsub2_c); co=(halfsub1_c or ci); end full1; 2、新建一个Block Diagramm/Schematic File 原理图文件,,在空白处双击添加生成的顶层原理图,并连接input、output(输入输出管脚)重命名后如图所示保存文件fullsub.bdf。 建立仿真文件 点击主工具栏上的图标进行半编译,完成后新建一个波形仿真文件File?New?Verification/Debugging Files?Vector Vaveform File.,然后在左边空白处双击左键添加仿真管脚。完成后添加激励信号的波形,首先使用鼠标选中一个信号(变蓝),利用左边工具添加仿真信号,根据需要依次添加各个信号(可以根据老师的实际要求添加不同的信号)并保存。 4、功能仿真 选择Processing?Simulator Tool 在弹出对话框中在仿真模式中选择”Functional” 然后点击”Generate Functional Simulation Netlist”生成功能仿真的Netlist,完成后点击”Start”按钮开始仿真,完成后点击”Report”来查看仿真结果如所示。 5、分配管脚,下载、连线 选择Assignments ?Pins Planner在Location中选择要分配的管脚,分配完毕后,点击主工具栏的 图标进行全编译,完成后点击下载到目标器件。连线时ai 、bi、ci分配的管脚连接拨码开关,Co、Di所对应管脚连接LED指示灯。 实验记录 根据仿真结果和实验led发光二极管的亮灭完成下表,并分析其运算结果的正确性。 输入 输出 实验结果 Ci-1 Bi Ai Di Ci Di LED Ci

文档评论(0)

haha85864 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档