14位數字密码锁控制电路设计.doc

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
14位數字密码锁控制电路设计

E D A 课 程 实 习 报 告 电子信息学院 指导老师: 姓名: 学号: 目录 设计目的-----------------------------------3 设计要求------------------------------------4 14位密码锁控制电路原理-------------------5 maxlpus2模拟仿真 --------------------13 课设心得----------------------------------15 题目:简易14位数字密码锁控制电路 摘要: 数字密码锁的基本原理是,通过寄存器存储预置密码,输入密码后将数据寄存在另外的寄存器中。然后分别将预置密码与输入密码通过比较器比较,如果相同,锁被打开,否则,不能打开。 本方案的以以上原理为基础,通过门电路与触发器的辅助,实现了预置密码,验证密码,修改密码的功能。 关键字:数字 密码锁 设计目的 1、14数字密码分成高7位(DH6-DH0)和低7位(DL6-DH0),用数字逻辑开关预置,输出信号out表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 要求电路工作可靠,必威体育官网网址性强,开锁出错立即报警,用声光两种形式同时报警。 利用maxplus2软件进行设计、编译、并在FPGA芯片上实现。 14位密码自己设定,比如:“10001010100010”。本次设计采用本人学号后三位二进制8421码+两位:一班是01,二班10,三班11,四班00. 设计要求 设计简易14位数字密码模块IC9A模块,模块IC9A采用VHDL实现。 设计一个报警信号电路,方法不限 在IC9A模块基础上设计14位数字密码锁的顶层电路。 三、14位密码锁控制电路原理 顶层电路组成 CLK为时钟控制信号,CLR为清零信号,D6-D0为7位密码输入端,OP为开锁键。 输入密码时,先置CLR为1进行清零,然后将高7位密码置入D6-D0,然后给CLK一个脉冲,高7位密码被锁存,然后再把低7位置入D6-D0,然后给开锁键OP一个脉冲,如果密码正确的话OPLOCK输出为1进行开锁,如果密码错误则LED和VOICE输出为1,进行声光报警。 IC9A锁存器 VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SCQ IS PORT(CLK,CLR: IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(6 DOWNTO 0); Q: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END SCQ; ARCHITECTURE ART OF SCQ IS BEGIN PROCESS(CLK,CLR) BEGIN IF(CLR=1)THEN Q=0000000; ELSIF(CLKEVENT AND CLK=1)THEN Q(6)=D(6); Q(5)=D(5); Q(4)=D(4); Q(3)=D(3); Q(2)=D(2); Q(1)=D(1); Q(0)=D(0); END IF; END PROCESS; END ART; IC9A译码器 VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY YM IS PORT( OUTPUT: OUT STD_LOGIC; A: IN STD_LOGIC_VECTOR(6 DOWNTO 0); B: IN STD_LOGIC_VECTOR(6 DOWNTO 0)); END YM; ARCHITECTURE ART OF YM IS BEGIN PROCESS(A,B) BEGIN IF(A=0100010 AND B=0100001)THEN OUTPUT=1; ELSE OUTPUT=0; END IF; END PROCESS; END ART; D触发器 VHDL源程序 D触发器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D IS PORT(D,CLK,CLR: IN STD_LOGIC; Q: OUT STD_LOGIC ); END D; ARCHITECTURE ART OF D IS BEGIN PROCESS(CLR,CLK) BEGIN IF(CLR=1)THEN Q=0; ELSIF(CLKEVENT AND CLK=1)THEN Q=D; END IF; END

文档评论(0)

fv45ffsjjI + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档