- 1、本文档共6页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
按键控制加法计数器
按键控制加法计数器
提出问题:如何用自己的FPGA开发板实现两个按键控制加法计数器的程序?并且要求计数值在两个数码管上显示。Key1控制一个6位的加法计数器,key2控制一个9位的加法计数器。
解决方法:
方法一:
用VHDL语言实现
程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT6 IS
PORT (CLK,RST,EN,KEY1,KEY2:IN STD_LOGIC;
CQ1,CQ2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
COUT1,COUT2:OUT STD_LOGIC);
END CNT6;
ARCHITECTURE aaa OF CNT6 IS
signal p:std_logic_vector(31 downto 0);
BEGIN
COM1: PROCESS (CLK,RST,EN,KEY1,KEY2)
VARIABLE CQI1:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
IF RST=1OR KEY1=0 THEN CQI1:=(OTHERS=0);
ELSIF CLKEVENT AND CLK=1THEN
p=p+1;
if(pthen
p=00000000000000000000000000000000;
IF EN=1 AND KEY1=1 THEN
IF CQI16 THEN CQI1:=CQI1+1; COUT1=0;
ELSE CQI1:=(OTHERS=0); COUT1=1;
END IF;
END IF;
END IF;
End if;
CQ1=CQI1;
END PROCESS;
COM2: PROCESS (CLK,RST,EN,KEY2)
VARIABLE CQI2:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
IF RST=1OR KEY2=0 THEN CQI2:=(OTHERS=0);
ELSIF CLKEVENT AND CLK=1THEN
p=p+1;
if(pthen
p=00000000000000000000000000000000;
IF EN=1 AND KEY2=1 THEN
IF CQI29 THEN CQI2:=CQI2+1;COUT2=0;
ELSE CQI2:=(OTHERS=0);COUT2=1;
END IF;
END IF;
END IF;
End if;
CQ2=CQI2;
END PROCESS;
END aaa;
程序分析:采用两个进程分别实现6进制加法计数器和9进制加法计数器,这连个计数器均是异步清零、同步使能的。当一个clock时钟来临时且使能en=1,则开始扫描按键是否被按下,当key1被按下时,com1的敏感信号发生跳变,开始运行6进制加法计数器(采用if条件判断语句,判断是否记满,记满则清0,进位为1,否则继续计数 );当key2被按下时同key1。
3. 编译硬件耗用统计报告:
综合报告显示:所占用的总逻辑单元为16个,总的组合功能为16个,专用逻辑寄存器9个。
时序仿真图:
仿真波形显示了我们所要的结果,key1为1时,cout1计数,key2为1时,cout2计数。即通过两个按键分别控制了两个计数器计数。
方法二:用Verilog语言实现
编写程序如下
module counter(clk,clear,s,q1,q2,c1,c2,key1,key2);
output[3:0] q1,q2;
output c1,c2;
input clk,clear,s,key1,key2;
reg[3:0] q1,q2;
always @(posedge clk)
begin
if (clear)
q1 = 0;
else
begin
if (s key1)
begin
if (q1==4b0101) q1={4{1b0}};
else q1=q1+1;
end
end
end
您可能关注的文档
- 工人岗位责任制.doc
- 工作转正自我鉴定范文_实习总结_总结汇报_实用文档.doc
- 工商管理毕业论文样板.doc
- 工科类毕业设计论文范文.doc
- 工程图图纸格式及模板设置.doc
- 工程管理制度样本.doc
- 工程量计算规则及说明(装饰).doc
- 工程设计图签格式规定.doc
- 工艺验证方案模版(原料药).doc
- 工资核算、财务制度.doc
- 2024年江西省寻乌县九上数学开学复习检测模拟试题【含答案】.doc
- 2024年江西省省宜春市袁州区数学九上开学学业水平测试模拟试题【含答案】.doc
- 《GB/T 44275.2-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第2部分:术语》.pdf
- 中国国家标准 GB/T 44275.2-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第2部分:术语.pdf
- GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构.pdf
- 《GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构》.pdf
- 中国国家标准 GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构.pdf
- GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南.pdf
- 中国国家标准 GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南.pdf
- 《GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南》.pdf
文档评论(0)