交通灯的设计数电讲述.ppt

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯的设计数电讲述

谢谢您的聆听 xxxxxxxxxx@ xxxxxxxxxx@ 数字电子与EDA技术 题目:交通灯控制器 设计背景: 随着经济的快速发展,汽车作为方便的交通工具越来越普及,与此同时,较慢的城市规划尚未适应较快的经济发展,导致交通基础设施建设不足,出现了交通事故率逐年递增的趋势。尤其是在车流量较大的路口,于是,自动化程度较高的交通灯便具有了较大的实用价值。 设计目的:实现十字路口的交通信号控制自动化。 设计意义:提高通行效率,降低交通事故发生率,节约管理成本。 城市十字交叉路口红绿灯控制系统主要负责控制主干道(左行、直行)和支干道的红绿灯的状态和转换顺序,关键是各个状态之间的转换和进行适当的时间延时,正是基于以上考虑,采用如下设计:? 整个过程中通过数码管输出计时 用户可以设定通行时间 用户可以使用默认通行时间 方案1:利用单片机实现。 C语言编程,通过开发板烧写到芯片中。 方案2:利用FPGA实现。 Verilog HDL语言描述。烧写到FPGA中。 确定选择方案2,理由是: FPGA运行速度快,核心频率可达几百M,易于集成。 单片机程序是串行执行,在处理突发事件的时候只能调用有限的资源;而FPGA属于并行执行,可同时处理不同的任务,导致了FPGA工作更有效率。 用户可通过输入改变通行状态的持续时间。 若无用户输入,则执行默认持续时间。 输入变量:clk (时钟) rst (复位) DUN (时间间隔设置) 输出变量:out (信号灯驱动信号) x (计时驱动信号) S1:主干道左行的红灯亮,直行绿灯亮。 支干道全部红灯。持续25秒 S2:主干道左行的黄灯亮,直行绿灯亮。 支干道全部红灯。持续5秒 S3:主干道全部绿灯。 支干道全部红灯。持续25秒 S4:主干道全部黄灯。 支干道全部黄灯。持续5秒 S5:主干道全部红灯。 支干道全部绿灯。持续25秒 S6:主干道全部黄灯。 支干道全部黄灯。持续5秒 输入变量:clk (时钟)脉冲发生器。 rst (复位)触发式开关 DIN(时间间隔设置)6个高低电平开关 输出变量:out (信号灯驱动信号) 红黄绿LED各两组 x (计时驱动信号) 两个共阳极数码管 状态任务输出特性分析 每间隔固定的时间便进入下一状态。 每个状态都输出驱动信号和计时信号。 确定状态机类型 Mealy型 输出信号不仅仅决定于现态,还存在复位信号的作用。 状态时钟频率确定 由于需要以秒为单位进行计数,故使用频率为1Hz的时钟输入。 采用独热码。 S1=000001 S2=000010 S3=000100 S4=001000 S5=010000 S6=100000 /* 置数模块*/ module SD(in,out,clk); input clk; input[5:0] in; output[5:0] out; parameter W=25; reg[5:0] out; always@(posedge clk)begin if(in==0) out=W; else out=in; end endmodule /*延时模块*/ module delay(DIN,clk,rst,cnt); input clk,rst; input[5:0] DIN; output[5:0]cnt; reg[5:0]cnt; always@(posedge clk) begin if(!rst)cnt=0; else if(cntDIN+4)cnt=cnt+1; else cnt=0; end endmodule /*状态机模块*/ module war(DIN,clk,in,rst,out); input clk,rst; input[5:0] in; input[5:0] DIN; output [5:0]out; reg [5:0]out; reg[5:0]state,NS; parameter Q1=6‘b000001,Q2=6’b000010, Q3=6b010010,Q4=6b010001, Q5=6b011100,Q6=6b001100, A=6b000001,B=6b000010,C=6b000100, D=6b001000,E=6b010000,F=6

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档