VHDL常用语法.pptVIP

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL常用语法

顺序语句 If 语句 If boolean_expression then {sequential_statement} {elsif boolean_expression then {sequential_statement}} [else {sequential_statement}] end if [if_lablel]; case 语句 [case_label:] case expression is (when choices={sequential_statement}) {…} end case[case_label]; Choices=(simple_expression|discrete_range|others){|…} loop 语句 [loop_label:] loop {sequential_statement} end loop[loop_label]; exit 语句 [label:] exit[loop_label][when boolean_expression]; 当某些条件产生时可退出循环。 next 语句 [label:] next[loop_label][when boolean_expression]; 当执行转跳语句时,立即中止当前的循环迭代,不再执行当前循环中的其他语句,而是开始下一个循环迭代。 while 循环 [loop_label:] while boolean_expression loop {sequential_statement} end loop[loop_label]; for 循环 [loop_label:] for identifier in discrete_range loop {sequential_statement} end loop[loop_label]; 循环语句小结 [loop_label:] [while boolean_expression | for identifier in discrete_range ]loop {sequential_statement} end loop[loop_label]; 并发信号赋值语句 条件信号赋值语句 name=[delay_mechanism] {waveform when boolean_expression else} waveform [when boolean_expression]; 与if语句具有相同的意义 选择信号赋值语句 with expression select name=[delay_mechanism] {waveform when choices,} waveform when choices; 与case语句具有相同的意义 结构化描述 元件声明 component identifier [is] [generic (generic_interface_list);] [port(port_interface_list);] end component[identifier]; 元件实例生成 instantiation_label: [component]component_name [generic map(generic_interface_list)] [port map(port_interface_list)]; 端口映射 1.位置关联 端口映射中列出的每一个信号被连接到实体声明中相同位置的端口上。 port map(cpu_rd,cpu_wr,cpu_mem, mem_ras,mem_cas,mem_we,cpu_rdy); 端口映射 2.命名关联(推荐方法) 明确指出每个端口的连接信号,顺序无关。 port map(rd=cpu_rd,wr=cpu_wr, mem=cpu_mem,ready=cpu_rdy, ras=mem_ras,cas=mem_cas, we=mem_we); 注:可以把一个 实例的 分立的端口和一个复合类型的实际信号的独立单元连接。 f0: fulladder4 (位置关联) PORT MAP ( A(4 downto 1),B(4 downto 1),Cin , w ,Sum(4 downto 1)); f0: fulladder4 (命名关联) PORT MAP ( x=A(4 downto 1),y=B(4 downto 1),z=Cin ,h= w ,k=Sum(4 downto 1)); * 方法: 在构造体写元件声明(component declarations),然后在构造体的语句部分创建元件的实例(instances) *

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档