基于FPGA软核,定制你的SoC精要.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA软核,定制你的SoC精要

基于FPGA软核,定制你的SoC Date: 2016 12.29 Author: Aric Wang 打造自己的CPU,自己的System On Chip! 本文以Step by step的方式Guide You来定制你自己的NIOS-II软核SoC,并创建C语言的流水灯测试程序,运行在自己做的CPU系统上。 软件: Quartus II 12.0, Nios II 12.0 Software Build Tools For Eclipse. (在安装Quartus II时,所需软件 一起安装。) 硬件: FPGA跑起Linux, UCOS-II等RTOS,是可行的,据相关人士的测试数据该软核在FPGA上性能介入ARM7-ARM9. 详细步骤定制 打开Quartus II 并且新建一个空的工程。 File - New Project Wizard Click the Next button. Click Next. 选择与开发板对应的FPGA芯片。Click Next Click Next. 最后Finish. 如下图: 使用SOP Builder 工具来生成自己的软核 Tools-SOP Builder Click OK, if Qsys 提示. 从左边Panel的Tree 里选择Nios Processor 双击Processors-Nios Processor Reset?Vector是复位后启动时的Memory类型和偏移量?Exception?Vector是异常情况时的Memory类型和偏移量。?现在还不能配置,需要RAM设置好以后才能修改这里. 一路点击Next,最后Finish. 接下面我们要添加片内RAM?选择左边目录树下的? Memories?and?Memory?Controllers?-??On-Chip?-???On-Chip?Memory?(RAM?or?ROM)? 本例中我只使用1024bytes. 接下来建立一个SystemID? System?ID就是一种标示符,类似校验和的这么个东西,在你下载程序之前或者重启之后,都会对它进行检验,以防止错误发生。? 在左边窗口的Peripherals-? ?????Debug?and?performance?-????????System?ID?Peripheral?双击System?ID?Peripheral 接下来创建JTAG?UART?? 首先????JTAG?UART是实现PC和Nios?II系统间的串行通信接口,它用于字符的输入 输出,在Nios?II的开发调试过程中扮演了重要的角色,接下来我们开始建立它的模块。??选择sopc?builder主窗口左边??Interface?Protocols-????Serial-??JTAG?UART 接下来要添加一个PIO模块(Parallel?I/O) 其中Width?表示要建立的PIO宽度是多少,我们的板上有8个LED,选择宽度为8,Direction复选框中表示要建立的PIO的方向,分别表示???Bidirectional(tristate)?ports??(双向三态口)???Input?ports?only????(仅仅作为输入口)???Both?input?and?output?ports?(作为输入和输出口)???Output?ports?only???(仅仅作为输出口)? ??在本实验中,将要实现的是点亮LED,所以这个新建的PIO采用Output?ports?only(仅输出口)方式 查看配置的结果: 重命名系统 内组件。 双击cpu并设置内存 点击 Finish. ??执行System菜单上的Auto-Assign?Base?Addresses?自动分配一下地址。 开始编译,经过耐心等待后,程序编好了,查看下最后的输出如下: 最后一行显示Info:?System?generation?was?successful,产生成功。?? 点击Exit退出,会回到quartusii主界面。 新建一个Block Diagram/Schematic File 在丁板上双击左键: 点击,放在丁板上, 放好后,在NIOS软核kernel上点击右键后点击Generate?Pins?for?symbol?ports 双击out_port_from_the_pio_led[7..0]拉出来的端口符号,重命令 分配引脚有多种方法,常用的为tcl脚本分配,建立一个文件,取名为nios2.tcl,文件内容如下: set_global_assignment -name RESE

您可能关注的文档

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档