9.1键盘接口设计解读.ppt

  1. 1、本文档共32页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
9.1键盘接口设计解读

了解键盘接口原理及设计方法 理解LED驱动器设计原理 理解和掌握D/A接口设计的主要内容 理解和掌握A/D接口设计的主要内容 教学重点 理解LED驱动器设计 理解和掌握D/A接口设计的主要内容 理解和掌握A/D接口设计的主要内容 键盘工作原理 去抖动措施 在触点抖动期间检测按键的通与断状态,可能导致判断出错。即按键一次按下或释放被错误地认为是多次操作,这种情况是不允许出现的。为了克服按键触点机械抖动所致的检测误判,必须采取去抖动措施,可从硬件、软件两方面予以考虑。 硬件去抖动措施:在键数较少时,可采用硬件去抖 软件去抖动措施: 当键数较多时采用软件去抖。 矩阵式键盘的结构及原理 矩阵式键盘按键的识别 识别按键的方法很多,其中,最常见的方法是扫描法。 以下是以8号键的识别为例来介绍扫描法: 8号键按下时,第2行一定为低电平,然而,第2行为低电平时,能否肯定是8号键按下呢?回答是否定的,因为9、10、11号键按下同样使第2行为低电平。 为进一步确定具体键,不能使所有列线在同一时刻都处在低电平,可在某一时刻只让一条列线处于低电平,其余列线均处于高电平,另一时刻,让下一列处在低电平,依此循环,这种依次轮流每次选通一列的工作方式称为键盘扫描。采用键盘扫描后,再来观察8号键按下时的工作过程,当第0列处于低电平时,第2行处于低电平,而第1、2、3列处于低电平时,第2行却处在高电平,由此可判定按下的键应是第2行与第0列的交叉点,即8号键。 对于矩阵式键盘,按键的位置由行号和列号唯一确定,因此可分别对行号和列号进行二进制编码,然后将两值合成一个字节,高4位是行号,低4位是列号。如图9.2中的8号键,它位于第2行,第0列,因此,其键盘编码应为20H。采用上述编码对于不同行的键离散性较大,不利于散转指令对按键进行处理。因此,可采用依次排列键号的方式对安排进行编码。以图9-2中的4×4键盘为例,可将键号编码为:01H、02H、03H…0EH、0FH、10H等16个键号。编码相互转换可通过计算或查表的方法实现。 矩阵式按键FPGA描述 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity key is Port ( clk : in std_logic; keyin : in std_logic_vector(3 downto 0); keyvalue : out std_logic_vector(3 downto 0); keypressed : out std_logic; keydrv : inout std_logic_vector(3 downto 0)); end key; architecture Behavioral of key is component keyscan port( clk_scan: in std_logic; --扫描时钟 key_drv: out std_logic_vector(3 downto 0)--扫描信号); end component; component clkgen port( clk : in std_logic; clk_scan : out std_logic); end component; component keydecoder port( key_in: in std_logic_vector(3 downto 0); --键盘输入 key_drv: in std_logic_vector(3 downto 0);--键盘输出 clk: in std_logic; --全局时钟 clk_scan: in std_logic;--扫描时钟 keyvalue: out std_logic_vector(3 downto 0);--键盘的键值 key_pressed: out std_logic); end component; signal clk_scan:std_logic; begin keyscan1:keyscan port map(clk_scan=clk_scan, key_drv=keydrv); clkgen1:clkgen port map( clk=clk, clk_scan=clk_scan); keydecoder1:keydecoder port map( key_in=keyin, key_dr

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档