讲稿...docVIP

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
讲稿..

4.1 组合逻辑电路设计 4.1.1 基本门电路 基本门电路有与门、或门、非门、与非门、或非门和异或门等,用VHDL语言来描述十分方便。 【例4.1】2输入与非门电路 ?LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY xx1 IS PORT(a,b:IN STD_ LOGIC; y:OUT STD_LOGIC); END xx1; ARCHITECTURE NAND2PP OF xx1 IS BEGIN y=a NAND B; END NAND2PP; 【例4.2】2输入或非门 LIBRARY ieee; use ieee.std_logic_1164.all; entity nor2 is port(a,b:in std_logic; y:out std_logic); end nor2; architecture nor_behave of nor2 is begin y=a nor b; end nor_behave; 【例4.3】2输入异或门电路 library ieee; use ieee.std_logic_1164.all; entity xor2 is PORT(a,b:IN STD_IOGIC; y:out std_logic); END xor2; ARCHITECTURE XOR_BEHAVE OF XOR2 IS begin y=a xor b; END XOR_BEHAVE; 【例4.4】同时实现一个与门、或门、与非门、或非门、异或门及反相器的逻辑 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY GATE IS PORT (A,B:IN STD_LOGIC; YAND,YOR,YNAND,YNOR,YNOT,YXOR:OUT STD_LOGIC); END GATE; ARCHITECTURE ART OF GATE IS BEGIN YAND=A AND B; --与门输出 YOR=A OR B; --或门输出 YNAND=A NAND B; --与非门输出 YNOR=A NOR B; --或非门输出 YNOT=NOT B; --反相器输出 YXOR=A XOR B; --异或门输出 END ART; 4.1.2 3-8译码器 【例4.5】实现74LS138三线-八线译码器(输出低电平有效)。 三线-八线译码器74LS138的输出有效电平为低电平,译码器的使能控制输入端g1、g2a、g2b有效时,当3线数据输入端cba=000时,y[7..0]即y[0]=0);当cba=001时,y[7..0]即y[1]=0);依此类推。 用VHDL描述的三线-八线译码器74LS138源程序如下: LIBRARY ieee; USE ieee.std_logic_1164.all; entity decoder38 is port(a,b,c,g1,g2a,g2b:in std_logic; y:out std_logic_vector(7 downto 0)); end decoder38; architecture behave38 OF decoder38 is signal indata:std_logic_vector(2 downto 0); begin indata=cba; process(indata,g1,g2a,g2b) begin if(g1=1 and g2a=0 and g2b=0) then case indata is when 000=y when 001=y when 010=y when 011=y when 100=y when 101=y when 110=y when 111=y when others=y=XXXXXXXX; en

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档