实验基于QuartusII的流水灯设计仿真.pptVIP

实验基于QuartusII的流水灯设计仿真.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验基于QuartusII的流水灯设计仿真

实验二、基于Quartus II的 流水灯设计仿真 一、实验目的 通过本次实验,引导学生以计算机辅助设计的手段来设计数字逻辑电路; 掌握QuartusII集成开发环境软件原理图输入的设计流程; 掌握简单流水灯的工作原理,学会通过QuartusII建立原理图设计小型数字电路; 掌握可编程逻辑器件(PLD)的开发步骤; 掌握对设计进行编译、仿真的方法。 三、流水灯要求及工作原理 流水灯工作流程如上图所示,用逻辑电路控制8个LED灯,始终保持7亮1暗,在脉冲信号CP的推动下循环流动; 将灯亮用1表示,灯灭用0表示,可写出流水灯的真值表; 观察发现,3-8线译码器74LS138的逻辑真值表与其相同,因此采用74LS138作为主控逻辑器件; 如果能够通过脉冲信号CP在74LS138的A2、A1、A0地址端产生连续的000、001、010……111→000……的地址信号,在74LS138输出端的8个LED灯即可产生流水效果。 将3个边沿D/JK触发器前级的Q端与后级的CP串联,即可在Q0、Q1、Q2端产生出与000、001、010……111→000……对应的的地址信号。 1、异步模八计数器设计(在QuartusII中画图) 由JK→T’构成异步模八计数器为74LS138产生连续变化的地址信号; f1为连续脉冲CP,为便于视觉观察,输入频率一般应为1-10Hz; Q2、Q1、Q0分别接到74138的地址端A2、A1、A0; 2、译码器应用(在QuartusII中画图) Q2、Q1、Q0接三个JK触发器的Q端输出,接收前端计数器输出的地址信号; Y0、Y1、……Y7与实验板上的LED灯进行连接(在QuartusII下进行引脚锁定),观察流水现象; 五、实验步骤 1. 通过QuartusII建立一个新项目; 2. 建立项目时选MAXII系列(family)的目标器件(devices)EPM240T100C5 3. 新建图形设计文件,调用相关元件,设计总体电路原理图,并编译通过; 4. 新建波形矢量文件,添加引脚端口并编辑激励波形,保存该文件并执行时序仿真,观察并分析仿真结果。 QuartusII软件操作流程 请同学们参照后面的步骤,提前做好预习,熟悉QuartusII软件的操作环境; QuartusII软件可到实验中心网站上下载,要注意它的破解步骤。 一、准备 1、使用QuartusII软件之前,请确保软件已正常破解 若启动QuartusII时看到如下注册许可界面,则说明软件尚未注册许可,需要进行认证后才能正常使用: 开始菜单\运行中输入命令:cmd,打开dos命令窗; 在命令窗中输入: ipconfig/all,即列出本机物理地址physiccal address; 用记事本打开本机D:\Altera目录下的License.Dat文件,将其中的Host ID替换为本机的物理地址即完成破解。(替换时需注意不能插入空格并去掉符号“-” ) 保存文件并关闭,重启Quartus,注册许可界面已消失。 2、Quartus II 6.0主界面操作环境 3、常用工具栏 工程创建时的准备工作 QuartusII通过“工程(Project)”来管理设计文件,必须为此工程创建一个放置与此工程相关的所有设计文件的文件夹; 此文件夹名不宜用中文,也最好不要用数字,应放到磁盘上容易找到的地方,不要放在软件的安装目录中; 建立完工程文件夹后再进行后续操作…… 1、项目创建向导 2、为创建的工程添加设计文件 3、器件选择 4、EDA 工具设置 5、完成! 综上所述,创建工程时的几个步骤如下 (1)指定工程所在的工作库文件夹、工程名及设计实体名; (2)将设计文件加入工程中,若无设计文件直接跳过; (3)选择仿真器和综合器类型(默认“None”为选择QuartusII自带的); (4)选择目标芯片(开发板上的芯片类型); (5)工具设置,默认为都不选择,可直接跳过; (6)完成创建。 工程建立后,若需要新增设计文件,可以通过菜单项Project /Add_Remove……在工程中添加新建立的设计文件,也可以删除不需要的设计文件。编译时将按此选项卡中列出的文件处理。 2、建立原理图设计文件 3、调用参数化元件(内置元件) 在绘图区双击鼠标左键,即弹出添加元件的窗口 分别输入“input”和“74138”时的预览窗口 绘图辅助工具栏介绍 从符号库中调出74138、VCC、GND、INPUT、OUTPUT等符号/端口,排放整齐; 完成画线连接操作(鼠标放到端点处,会自动变为小十字形,按下左键拖动到目标处,释放后即完成本次画线操作),若要画折线,在转折处单击一次左键,继续拖动即可; 为OUTPUT端口命名:双击该输出端口,在弹出的窗口中输入名称即可。 重复上述步骤

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档