数字系统设计及VHDL实践.pptVIP

  1. 1、本文档共40页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字系统设计及VHDL实践

多路选择器 多路选择器的逻辑功能是在地址选择信号的控制下,从多路输入数据中选择一路数据作为输出端口的输出数据. 4选1多路选择器 Library IEEE; Use IEEE.std_logic_1164.all; Entity mux4 is port ( input : in std_logic_vector (3 downto 0 ); a, b: in std_logic; y: out std_logic ); End mux4; Architecture behav of mux4 is signal sel :std_logic_vector(1 downto 0); Begin sel=b a; process(input , sel) begin 信号需在结构体中说明,而变量可在进程中说明。 P48 4选1多路选择器 if (sel=“00”) then y=input(0); elsif (sel=“01”) then y=input(1); elsif (sel=“10”) then y=input(2); elsif (sel=“11”) then y=input(3); else y=‘Z’; end if; End process; End behav; P48 求补器 Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; Entity hosuu is port ( a : in std_logic_vector ( 7 downto 0 ) ; b : out std_logic_vector ( 7 downto 0) ); End hosuu; Architecture rtl of hosuu is Begin b= not a +‘1’; End rtl; P46 求补器是作用是将输入信号转换成其补码输出。 三态门 Library IEEE; Use IEEE.std_logic_1164.all; Entity tri_gate is port ( din , en : in std_logic; dout : out std_logic ); End tri_gate ; Architecture behav of tri_gate is Begin process ( din ,en) begin if (en=‘1’) then dout=din; else dout=‘Z’; end if ; end process; End behav; 采用防护式块语句来表示三态门: Architecture blk of tri_gate is Begin tri_gate2: block (en=‘1’) begin dout=guarded din; end block; End blk; P46 三态门,是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态的门电路。高阻态相当于隔断状态。 三态门都有一个EN控制使能端,来控制门电路的通断。 单向总线缓冲器 Library IEEE; Use IEEE.std_logic_1164.all; Entity tri_buf8 is port ( din : in std_logic_vector(7 downto 0) ; en : in std_logic ; dout : out std_logic_vector(7 downto 0) ); End tri_buf8 ; Architecture behav of tri_buf8 is Begin process ( din ,en) begin if (en=‘1’) then dout=din;

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档