位十进制计数器.docVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
位十进制计数器

本科实验报告 课程名称: CPLD/FPGA应用设计 实验项目: 2位十进制计数器 实验地点: 中区采矿楼二层 专业班级: 学号: 学生姓名: 2014年 3 月 17 日 实验目的 1、熟悉Quartus II的原理图设计流程全过程。 2、学习简单时序电路的设计方法。 3、学习EDA设计的时序仿真和硬件测试方法。 二、实验原理 2位十进制计数器参考原理图如图所示,也可以采用其他元器件实现。 实验任务 1、设计2位十进制计数器电路。 2、在EDA环境中输入原理图。 3、对计数器进行仿真分析、引脚锁定、硬件测试。 四、实验步骤 1、设计电路原理图 设计含有时钟使能及进位扩展输出的十进制计数器。可以选用双十进制计数器74LS390或者十进制计数器74LS160和其他一些辅助元件来完成。 计数器电路的实现 按照电路图进行连线,完成完整的实验原理图。绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式。若将一根细线变成一粗线显示的总线,可以先单机使其变红,再选Option选项中的Line Style;若在某线上加信号标号,也应该点击该线某处使其变成红色,然后键入标号名称,标有相同标号的线段可视为连接线段,不必直接连接。总线可以以标号方式进行连接。 波形仿真 编程下载后的硬件测试,实验图如下图: 实验感想 通过本次实验 ,我熟悉了Quartus?II软件的使用方法,对图形设计方法和VHDL语句设计方法都有了初步的了解。通过对两种方法进行分析比较可以发现,图形设计方法直观明了,但不便于修改,而且受元件库所能提供的元件限制,在设计时要求对元件有较好的了解;而采用VHDL语言设计方法,只要设计者正确描述目标电路的功能,通常就可以利用综合器产生符合要求的电路,而且该方法实现电路容易进行修改,设计者也不用过多考虑实际可获得的硬件资源,因此提高了设计效率。

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档