数字逻辑与数字系统设计2015试卷解读.docx

数字逻辑与数字系统设计2015试卷解读.docx

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字电子技术2015年试卷A 一、填空题 (每空1 分,共20分) 1. 逻辑函数的反函数 ,对偶式 。 2. 完成转换:(121)10 = ( )2 = ( )16=( )8421BCD。 3. 表示逻辑函数的方法有 、 、 、 等。 4. 三态门的三种输出状态分别是0、1和 。 5. 一个16路数据分配器,其地址选择输入端有 个。 6. 对于JK触发器,若J=K=1,可完成 触发器的逻辑功能。 7. 米里型时序电路的输出状态与 和 有关。 8. 设计一个有17个有效状态的十七进制计数器需要 个触发器。 9. 计数器按数字的变化规律来分,可分为 计数器、 计数器和 计数器 。 10. 在VHDL语言中,变量只能在Process和 中定义,并只在其内部有效;而信号只能在Entity和 中定义。 得分 二、单项选择题 (每小题 1.5 分,共 15分) 1. 十六进制数(7D.F3)16的八进制数是 _______。 A.(176.746)8 B. (175.746)8 C. (175.745)8 D. (176.756)8 2. (7)10的余3码是________。 A.1011 B.1100 C.1010 D.1001 3. 函数F(A,B,C,D)=∑m(1,3,5,7,8,13,15),它的卡诺图 如下图所示。函数的最简与或表达式F=___________。 CD AB 00 01 11 10 00 1 1 01 1 1 11 1 1 10 1 A. B. C. D. 4. n个变量的最小项是________。 A.n个变量的积项,它包含全部n个变量,每个变量可用原变量或反变量 B.n个变量的和项,它包含全部n个变量,每个变量可用原变量或反变量 C.n个变量的积项,它包含全部n个变量,每个变量仅为原变量 D.n个变量的和项,它包含全部n个变量,每个变量仅为反变量 5.逻辑函数的表示方法中具有唯一性的是________。 A.真值表 B.布尔表达式 C.逻辑图 D.VHDL语言 6.下图为数据选择器构成的函数发生器,其输出逻辑Y等于________。 A. Y = AB ; B. ; C. Y = A; D. Y = B 。 7.用n个触发器构成的计数器,可得到的最大计数模为________。 A. n B. 2n C. 2n D. 8.一个T触发器,在T=1时,加上时钟脉冲,则触发器________。 A.保持原态 B.置0 C.置1 D.翻转 9. 在四变量卡诺图中,逻辑上相邻的一组最小项为( )。 A. m0与m5 B. m7与m15 C. m5与m12 D. m13与m8 10. 若要使JK触发器来实现特性方程工作,应使输入JK=( )。 A. 00 B. 01 C. 11 D. 10 得分 三、简答题 (每小题 5 分,共20分) 1. 用代数法将函数化简为最简与-或表达式。 2. 用完整的VHDL语言描述逻辑函数表达式 3. 试用集成数据选择器74LS151实现逻辑函数,写出实现过程。 4. 在实验中,若要用74LS161实现一个13进制计数器,如果用清零法,该如何连线?请在下图画出。 得分 四、分析题 (共 25分) 1. 由3线-8线译码器74LS138和8选1数据选择器74LS151组成如下所示的逻辑电路。X2X1X0和Z2Z1Z0为两个3位二进制数,试分析电路的逻辑功能,要求写出分析过程。 (10分) 2. 分析如图所示的时序电路是几进制分频电路?要求:(1)画出状态转换图;(2)画出Q0Q1Q2Q3及Z的波形图。(15分) 得分 五、综合题 (共 20分) 1. 试用四位二进制同步计数器74LS161 和八选一数据选择器74LS151及必要的逻辑门

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档