能智函数发生器eda设计本科论文.doc

  1. 1、本文档共28页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
能智函数发生器eda设计本科论文

湖南商学院 《EDA技术及应用》课程设计(实习)报告 题 目 智能函数发生器 姓 名: 学 号: 专 业: 班 级: 指导教师: 职 称: 计算机与电子工程学院 20 课程设计(实习)评审表 姓 名 黄亚珍 学 院 电子信息工程 学 号 070910051 专业班级 电信0702 题 目 智能函数发生器 评 审 意 见 评审成绩 指导教师签名 职称 评审时间 年 月 日 课程设计(实习)作品验收表 题目 参与人员 姓 名 班 级 学 号 设计任务与要求: 作品完成情况: 验收情况: 验收教师签名:       年 月 日 1 设计任务及要求 1 1.1 设计任务 1 1.2 设计要求 1 2 总体设计方案 1 3 各模块详细设计及实现 2 3.1 下降斜坡信号产生 2 3.1.1 详细设计 2 3.1.2 设计实现 2 3.2 上升斜坡信号产生 3 3.2.1 详细设计 3 3.2.1 设计实现 4 3.3 正弦信号产生 4 3.3.1 详细设计 4 3.3.2 设计实现 5 3.4 阶梯信号产生 6 3.4.1 详细设计 6 3.4.2 设计实现 7 3.5 方波信号产生 8 3.5.1 详细设计 8 3.5.2 设计实现 8 3.6 三角波信号产生 9 3.6.1 详细设计 9 3.6.2 设计实现 9 3.7 信号选择 10 3.7.1 详细设计 10 3.7.2 设计实现 11 3.8 顶层原理图 11 3.8.1 详细设计 11 3.8.2 设计实现 12 4 软件仿真 12 5 硬件实现 13 5.1 引脚锁定 13 5.2 硬件测试 14 6 心得体会 15 6.1 遇到的问题及解决方法 15 6.2 感想 15 参考文献 15 附件 16 智能函数发生器 摘要 自己写 关键字 自己写 1 设计任务及要求 1.1 设计任务 1.2 设计要求 2 总体设计方案(自己看书上面有) 该设计主要分为8个模块,前6个模块是波形的VHDL描述模块;第七个模块的波形选择模块,也是用VHDL语言进行描述,用于选择何种波形进行最后的输出;最后一个模块是顶层原理图模块,是将前7个模块连接在一起构成完整的只能函数发生器。 具体的总体设计方框图如下: 图1 总体设计方框图 3 各模块详细设计及实现 3.1 下降斜坡信号产生 3.1.1 详细设计 见书 3.1.2 设计实现 具体VHDL语言描述如下: entity deslope is --实体 port (clk,reset:in std_logic; --输入端口定义,为标准逻辑位 q:out std_logic_vector(7 downto 0) --输出端口定义,为8位标准逻辑矢量 ); end deslope; architecture behave of deslope is --结构体 begin process(reset,clk) --进程 variable cnt:std_logic_vector (7 downto 0): --定义变量CNT begin if reset=0 then cnt:=(others=1); --当RESET为0时,输出Q为1 elsif clkevent and clk=1 then --当时钟上升沿到来时,判断变量CNT if cntthen 是否为0,即降到最地点 cnt:=(others=1); --若CNT为0,则置为全1 else cnt:=cnt-1; --否则,CNT减1 end if; end if; q=cnt; --将CNT赋值给输出信号Q end process;

文档评论(0)

李天佑 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档