- 1、本文档共7页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验3-BCD码计数器实验3-BCD码计数器
实验3:BCD码计数器的VHDL描述及仿真
一、实验目的:
1.掌握BCD码计数器的VHDL描述方法
2.理解逻辑综合的概念
3.掌握RTL电路原理图分析的分析方法
二、实验工具:Quartus_II 9.0
三、实验原理:
1.BCD码计数器设计原理
BCD码的特点是用4位2进制数来表示一位10进制数,计数输出为一个4*n(n=0,1,2……)位的二进制数。本实验要求实现一个m(11~99)进制的计数器。
在BCD码计数器进行计数过程中,当低4位计数到“1001”时,再来计数脉冲,将低4位清0,并且相应的高4位加1,若此时高4位也为“1001”,则全部清0,这样可以构成一个100进制计数器。整体置数法,即在100进制计数器的基础上,当计数计到m时,全部清零,即实现了m进制计数器。
2.BCD码计数器的程序设计
对于BCD码计数器的设计,可以使用IF语句。在VHDL中,IF语句具有3种形式,下面对它们进行分别介绍。
(1) 具有开关控制的IF语句
主VHDL中,具有开关控制的IF语句是一种非常基本的顺序描述语句。通常,它的语法结构如下所示:
IF 条件 THEN
顺序处理语句 ;
END IF;
当程序执行到IF语句时,如果IF语句中的条件成立,那么程序将执行后面的顺序处理语句;否则程序将跳出IF语句,转而去执行其他的程序处理语句。
(2) 具有二选择控制的IF语句
在VHDL中,具有二选择控制的IF语句经常用来描述具有两个分支控制的逻辑功能电路。通常,它的语法结构如下所示:
IF 条件 THEN
顺序处理语句1 ;
ELSE
顺序处理语句2;
END IF;
当程序执行到IF语句时,如果IF语句中的条件成立,那么程序将会执行后面的顺序处 理语句 1;否则程序将会去执行顺序处理语句2。
(3) 具有多选择控制的IF语句
在VHDL中,具有多选择控制的IF语句经常用来描述具有多个选择分支的逻辑功能电路。通常,它的语法结构如下所示:
IF 条件1 THEN
〈顺序处理语句1 ;
ELSIF 条件2 THEN
顺序处理语句2;
……
ELSIF 条件n-1 THEN
顺序处理语句n-1;
ELSE
顺序处理语句 n;
END IF:
当程序执行到IF语句时,如果IF语句中的条件 1 成立,那么程序将会执行后面的顺序处理语句1;如果IF语句中的条件 2 成立,那么程序将会执行后面的顺序处理语句 2; 依此 类推,如果IF语句中的条件 n-1 成立,那么程序将会执行后面的顺序处理语句 n-1; 如果IF语句中的前n-1个条件均不成立,那么程序将会去执行顺序处理语句n。
(4) IF 语句的嵌套
IF 语句也可以进行多层嵌套。在编写VHDL程序的过程中,IF 语句的嵌套可以用来解决描述具有复杂控制功能的逻辑电路的问题。下面通过IF语句的嵌套来描一个具有同步置位功能的 D 触发器,它的VHDL程序如下所示。
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY dff2 IS
PORT(
D,clk,clr: in std_logic;
Q: out std_logic
);
END dff2;
ARCHITECTURE a1 OF dff2 IS
BEGIN
process(clk,D,clr)
begin
if clkevent and clk=1 then
if clr=0 then
Q=0;
else
Q=D;
end if;
end if;
end process;
END a1;
四、实验步骤:
1. 新建项目工程
(1)先建一个文件夹。就在电脑本地硬盘找个地方建一个用于保存下一步工作中要产生的工程项目的文件夹,注意:文件夹的命名及其保存的路径中不能有中文字符。
(2)再开始建立新项目工程,方法如右图点击:【File】菜单,选择下拉列表中的【New Project Wizard...】命令,打开建立新项目工程的向导对话框。选择项目工程保存位置、定义项目工程名称以及设计文件顶层实体名称。第二栏(项目工程名称)和第三栏(设计实体名称)软件会默认为与之前建立的文件夹名称一致。没有特别需要,我们一般选择软件的默认,不必特意去修改。需要注意的是:以上名称的命名中不能出现中文字符,否则软件的后续工作会出错。完成以上命名工作后,点击Next,进入下一步。
第2步的工作是让你将之前已经设计好的工程文件添加到本项目工程里来,若没有之前设计好的文件,直接点Next,再进入下一步。
第3步的工作是让你选择好设计文件下载所需要的可编程芯片的型号,本实验中可任选一种,比如Cyclone Ⅲ EP3C4
文档评论(0)