网站大量收购闲置独家精品文档,联系QQ:2885784924

~数字电子技术答案.docVIP

~数字电子技术答案.doc

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共3页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
~数字电子技术答案

PAGE  PAGE 3 2003—2004学年第一学期2002级《数字电子技术》答案 题 号一二三四五六七八九十总 分得 分 A.ROM B.RAM C.EPROM D.E2 PROM 3. EPROM是指( C ). A.随机读写存储器 B.只读存储器 C.光可擦除电可编程只读存储器 D.电可擦可编程只读存储器 4.PAL是指( C ) A.可编程逻辑阵列 B.可编程阵列逻辑 C.通用阵列逻辑 D.只读存储器 5.用PROM进行逻辑设计时,应将逻辑函数表达式表示成( B ) A.最简”与或”表达式 B.最简”或与”表达式 C.标准”与或”表达式 D.标准”或与”表达式 6.用PLA进行逻辑设计时,应将逻辑函数表达式变换成( C ). A.异或表达式 B.与非表达式 C.最简”与或”表达式 D.标准”或与”表达式 7.GAL是指( D ) A.专用集成电路 B.可编程阵列逻辑 C.通用集成电路 D.通用阵列逻辑 8.GAL16V8的最多输入输出端个数为(C ) A.8输入8输出 B.10输入10输出 C.16输入8输出 D16输入1输出 一、填空题(20分) 1、PLD器件的基本结构包括(与门阵列)和(或门阵列)两分。 2、时序PLA由(与门阵列)(或门阵列)和(触发器网络)成。 3、GAL器件由(与门阵列)(或门阵列)和(输出逻辑宏单元(OLMC))三个主要部分组成。 4应的八进制数为(277),十进制数为(191)。 5、七段显示译码器74LS47有( 7)个输出端,对应七段示器的( )。 6、A/D转换器的功能是将模拟量转换成数字量( ),D/A转换器的功能是(数字量轮换成模拟量)。 7、组合逻辑电的稳定输出信号取决于(输入信号)。 8、各函数的共享考虑(公用项 ),才能使电路达到最简。 9、指( 代入规则 ) ( 反演规则)和(对偶规则 )。 10、数字电路只能处理(数字 )信号,不能处理( 模拟 )信号. 二、选择题(20分) 1.逻辑器件(B )属于非用户定制电路。 A.逻辑门   B.GAL  C.PLA   D.触发器 2.半导体存储器(A )的内容在掉电后不会丢失.  系 (部)  年  级  专  业  班 级  姓  名  考试课程  2003—2004学年第一学期2002级《数字电子技术》答案 9.ISPLSI器件中的GLB是指(B ) A.全局布线区 B.通用逻辑块 C.输出布线区 D.输出控制单元 10.SYNARIO是一种( C ) A.时钟信号 B.布线软件 C.通用电子设计工具软件 D.绘图工具 三、程序设计题(30分) 1、3位乘法器(5分) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity multi3 is port (a,b:in std_logic_vector(2 downto 0); y:out std_logic_vector(5 downto 0)); end multi3; architecture bb of multi3 is signal m:std_logic_vector(2 downto 0);signal n:std_logic_vector(3 downto 0); signal x:std_logic_vector(4 downto 0); begin m=a when b(0)=’1’ else “000”; n=(a’0’) when b(1)=’1’ else “0000”; x=(a”00”) when b(2)=’1’ ; else “00000”; y=m+n+(‘0’x); end bb;2、JK触发器(5分) l ibrary ieee; use ieee.std_logic_1164.all; entity jk1 is port(j,k, clk:in std_logic; q,qb:out std_logic); end jk1; architecture bb of jk1 is signal qt,qbt:std_logic; begin process(clk,j,k)

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档