10章接口摘要.ppt

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
; ;D/A和A/D的技术指标包括以下几方面: 1.分辨率: 指数字量对应于模拟量的分辨能力,通常用数字量的位数表示,如8位、10位、1 2位等,对n位的转换器,分辨率为 。例如8位的转换器分辨率1 LSB为1/2,用百分数表示为0.39%。对BCD码的A/D转换器用BCD码的位数表示,如3位半的BCD码A/D转换,满刻度输出为1999,其分辨率为1/2000,用百分数表示为0.05%,相当于二进制11位的A/D转换器 。;2.转换精度:可用绝对精度和相对精度表示,绝对精度是理论值与实际值之间的偏差,而相 对精度是偏差相对于满量程的百分比。 3.转换时间:完成一次数字量和模拟量之间转换所需要的时间。 4.量化误差:有限数字对模拟值进行离散取值(量化)而引起的误差,理论值为±1/2LSB。 5.量程:转换模拟电压的范围。 ; DAC0832是八位的D/A转换器,片内有两个数据缓冲器:输入寄存器和 DAC 寄存器,两控制端LE1和LE2分别受I LE、CS、WR1和WR2、XFER的控制。DI0~DI7为数据输入线,转换结果 从IOUT1、IOUT2以模拟电流形式输出。 当输入数字为全“1”,IOUT1最大,全“0” 其IOUT1值最小,IOUT1和IOUT2之和为常数,当希望输出模拟电压时需外接运算放大 器进行 I / V转换。下图中DAC0832接成单缓冲形式和单片机接口,运算放大器组成的模拟电压输出电路,完成电流到电压的转换。;t; ADC0809是逐次逼近型八位A/D转换器,片内有八路模拟开关,可对八路模拟电压量实现分时转换。典型转换速度100μs 。片内带有三态输出缓冲器,可直接与单片机的数据总线相连接 。 ADC0809的引脚见图,其信号意义如下: CLK 时钟信号,典型值为500~640KHZ VREF+、VREF- 基准电压输入,通常 VREF+ 接+5V、VREF- 接地 ALE 地址锁存允许,其上升缘锁存 ADDC~ADDA的地址信号  ; START A/D转换启动信号,上升缘启动A/D转换。 EOC 转换完成信号,启动转换后,EOC输出低电平,转换完成后输出高电平。该信号可用作向单片机提出中断申请,或者作为查询信号。 OE 数字量输出允许信号,该引脚输入高电平时,转换后的数字量从D0~D7脚输出。 IN0~IN7 ??拟电压输入,八个引脚可分别接八路模拟信号。 ADDA、ADDB、ADDC 通道选择信号,其输入电平的组合选择模拟通道IN0~IN7之一 : ADDC、ADDB 、ADDA 模拟通道 0 0 0  IN0 0 0 1 IN1 …… 1 1 1 IN7; 转换结束信号EOC根据不同的方式和单片机的 连结方式不同, 采用延时方式 EOC悬空,在启动转换后延时 100 μs,再读转换结果; 采用查询方式时,可将EOC接并行口(P1或P3)的 某线,检测EOC变高后,再读入转换结果。 采用中断方式可将EOC经非门反相接到单片机的 中断请求端,一旦转换完成EOC变为高电平,向 8XX51提出中断请求,进入中断服务后读入转换 结果。如图10.12是一个中断方式的接口电路。 ;图10.12 ADC0809和8XX51的连结; ; SETB EX1 ;开放外部中断1 MOV DPTR,#7FF8H ;指向0809通道0 RD: MOVX @DPTR,A ;启动A/D转换 HE: MOV A,R2 ;八路巡回检测数送A JNZ HE ;等待中断,八路未完继续 INT1:MOVX A,@DPTR ; 读取A/D转换结果 MOV @R0,A ;向指定单元存数 INC DPTR ;输入通道数加1 INC R0 ;存储单元地址加1 MOVX @DPTR, A ;启动新通道A/D转换 DEC R2 ;待检通道数减1 RETI ;中断返回 

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档