veril0g除法计算方法.docVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
veril0g除法计算方法

基于Verilog计算精度可调的整数除法器的设计 时间:2009-05-07 10:32:52 来源: HYPERLINK http:// \t _blank 现代电子技术 作者:贵州省光电子技术及应用重点实验室 叶显阳,张海勇,皮代军,秦 0 引 言 ??? 除法器是电子技术领域的基础模块,在电子电路设计中得到广泛应用。目前,实现除法器的方法有硬件实现和软件实现两种方法。硬件实现的方法主要是以硬件的消耗为代价,从而有实现速度快的特点。用硬件的方法来实现除法器的研究很多,如利用微处理器实现快速乘除法运算,FPGA实现二进制除法运算,模拟除法器等;而通过软件实现的除法器算法,可以大大提高器件的工作频率和设计的灵活性,可以从总体上提高设计性能,而设计高效实用的算法是除法器的关键,故除法器的算法研究成为现今热点。 ??? 目前,软件方面主要是通过减法算法来实现除法运算,把被除数作为被减数,除数作为减数,作减法,直到被减数小于减数为止,记录能够相减的次数即得到商的整数部分。将所得的余数乘以10作为被减数,除数作为减数,作减法,差重新置入被减数,反复相减,直到被减数小于减数为止,记录能够相减的次数即得到商的十分位数值。依此继续下去,可得到商的百分位数值,千分位数值,……,要精确到哪一位,就依次做到哪一位。此方法的缺点是速度慢,而且最后一位的精度不高,为了克服以上的缺点,这里设计一种算法在软件上改进了除法器运算的准确性和处理速度。 1 设计方法 ??? 对于任意给定的两个整数fenzi和fenmu,设fenzi为被除数,fenmu为除数。为了得到两个数相除的十进制结果,本设计主要通过下面的算法来实现,假如要保留小数点后面的n位有效数字,首先把fenzi乘以10的n次方,赋值给寄存器变量dataO;接着把fenmu分别乘以10的(n+m),(n+m一1),(n+m一2),…,1,O次方分别赋值给(n+m+1)个不同的变量data(n+m+1),data(n+m),…,datal,其中m是fenzi和fenmu的位数之差(当fenzi的位数多于fenmu时,m为正,否则为负);先求出商的最高位的值,如果dataO大于data(n+m+1),则计数器自动加1,再把dataO和data(n+m+1)的差值赋给data0,再相减直到data0的值小于data(n+m+1),此时计数器的计数值就是最高位的值;依此用同样的方法继续下去,就可得到各个位上的值。对最后一位进行四舍五入处理,当相减后的dataOdatal时,再通过比较dataO*2是否大于datal,如果大于datal,则最后一位计数器的值加1,否则不变,最后把得到的整体值除以10的n次方,也就是小数点往左移动n位。传统除法算法由于采用多次相减的过程来实现,相减的过程耗费了大量时钟脉冲,而且对运算结果的最后一位没有进行处理;而本设计是通过采用位扩展使除数和被除数位数相同,进而对每一位进行分开处理,减少了做减法运算的次数,从而提高运算速度;同时采用四舍五入的方法对运算结果进行处理,提高准确性。上面算法是一种顺序方式,用Verilog硬件描述语言很容易实现,图1为流程图,其中假定fenzi为3位的整数,fenmu为2位的整数,除法运算精确到百分位。 2 仿真结果及分析 ??? 对上述的流程图用Verilog描述语言编程,在Ca—dence的NC—Verilog仿真器下仿真,设输入的Ienzi和fenmu的值分别为128和11,仿真波形如图2所示。 从图2的波形可以看出,输出结果为1 164,除法运算要精确到百分位,所以往左移动2位,其最终的值为11.64,而实际的值为11.636 36……,经过四舍五入得到的结果完成正确。从仿真时间来看,对于相同的数值输入,本设计只用了12个脉冲,而普通除法器至少需要20个脉冲(128/11=11余7,70/11=6余4,40/11=3余7,1l+6+3=20),相比之下本设计的除法算法有很大的优势。 ??? 然而对于两个位数相差很大的数相除,则本设计的速度优势更加的明显,本设计每一位的运行时间都不会超过9个时钟脉冲,因此进行,z位计算的总脉冲也不会超过9n个,而传统的除法运算需要多个时钟脉冲,一般会是本设计时钟脉冲的数倍。该算法同样适合小数的运算,只要把小数化成整数,再做同样的处理,就可以得到精确的结果。 3 结 语 ??? 通过对除法器算法的改进,用四舍五入的方法对数据进行处理,使得到的结果准确性有了进一步的提高;运用移位、循环减法,实现数据的高速运算,并能任意设定计算的精度。运用此方法在软件方面设计除法器对速度和准确性的提高有积极意义。 #include iostream using namespace std; int Multiply(i

文档评论(0)

185****7617 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档