出租车计费器可设讲述.docx

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
出租车计费器可设讲述

2014年1月17日 课程设计(论文)任务书 学 院 专 业 班 一、 课程设计(论文)题目 出租车计费器课程设计 二、 课程设计(论文)工作自2014年1月6日起至2014年1月17日止。 三、 课程设计(论文)地点: 电子测控实验室 四、 课程设计(论文)内容要求: 1)课程设计任务: 出租车计费器 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部E 用四位数码管显示总金额,最大值为99.99元; ^ 行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起 价)均能通过人工输入。 ^ 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由 计数译码,电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则 个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例 系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01 脉冲。 用LED显示行驶公里数,两个数码管显示收费金额。 2)课程设计论文编写要求 课程设计任务及要求 总体电路设计 模块设计一-给出各个模块的详细设计思路 — 管脚绑定一-列表或截图给出管脚绑定情况 仿真及FPGA开发板调试-一给出运行仿真波形截图,分析运行结果。 — 设计体会与小结一-设计遇到的问题及解决办法,通过设计学到了哪些新 巩固了哪些知识,有哪些提高。 参考文献(必须按标准格式列出,可参考教材后面的参考文献格式) 报告按规定排版打印,要求装订平整,否则要求返工; 课设报告的装订顺序如下:封面一-任务书一-中文摘要-一目录一一正文一-附录 (代码及相关图片) (10)严禁抄袭,如有发现,按不及格处理。 3)课程设计评分标准:(1)学习态度:10分(2)系统设计:20分(3)代码调试:20分(4)回答问题:20分(5)论文撰写:30分。 4)课程设计进度安排 进度安排: 本设计持续10天,其中最后一天为答辩时间。  TOC \o 1-5 \h \z 第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境 设计方案和验证方案的准备; ^ 第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计; ^ 第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; ^ 第8_9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导^ 验收设计;整理设计资料,撰写报告、准备答辩; ^ 第10天:验收合格后进行答辩。 学生签名: 2014年 1月6日 课程设计(论文)评审意见 学习态度(10分)??优()、良()、中()、一般()、差() 系统设计(20分):优()、良()、中()、一般()、差() 代码调试(20分):优()、良()、中()、一般()、差() 回答问题(20分):优()、良()、中()、一般()、差() 论文撰写(30分):优()、良()、中()、一般()、差() 评阅人: 职称: 讲师 中文摘要 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口的, 不但不不够准确,而且价格还十分昂贵。随着改革开放的日益深入,出租 车行业的发展势头已十分突出,国内各机械厂纷纷推出国产计价器。刚开 始出租车计价器的功能从刚才开始的只显示路程,到能够自主计费,以及 现在能够打印发票和语音提示、按时间自主变动单价等功能。随着旅游业 的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。出 租车计费器是乘客与司机双方的交易准则,它是出租车行业发展的重要标 志,是出租车最重要的工具。它关系着双方的利益。具有良好的性能的计 价器无疑是对广大出租车司机朋友还是乘客来说都是很重要的。因此,汽 车计价器的研究也是十分有应用价值的。 本设计就是采用VHDL硬件描述语言作为设计手段,采用自顶向下的设 计思路,得到出租车计价系统的硬件结构,通过Quartus II 9.0软件下进 行设计、仿真,再在EDA试验箱进行硬件仿真,使各项指标符合设计要求, 具有一定的实用性。基于VHDL语言的FPGA芯片稳定性好,抗干扰能力强, 外围电路少,执行速度快,适合像出租车计价器的这种较复杂的电路设计。 我是用分频模块、计时模块、计程模块、计费模块以及显示模块这五 个模块来设计方案的。通过分别对时间和里程的计数,再由时间和里程计 数时产生的控制信号来控制计费的方式,从而控制计费。 关键字:EDA VHDL语言出租车计费器 录  TOC \o 1-5 \h \z  HYPERLINK \l bookmark2 \o Current Document 一、课程设计任务及要求 1 二、 总体电路设计 1  HYPERLINK

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档