基于FPGA的高速串行AD转换器控制设计与实验研究.pdfVIP

基于FPGA的高速串行AD转换器控制设计与实验研究.pdf

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA的高速串行AD转换器控制设计与实验研究

西安理工大学学报 JournalofXi’anUniversityofTechnology(2O14)Vo1.30No.1 91 文章编号 :1006—4710(2014)01—0091—05 基于 FPGA 的高速串行 AD转换器 控制设计与实验研究 杨大伟 ,杨秀芳 ,李大伟。,韩俊锋 ,陈剑虹 (1.西安理工大学 机械与精密仪器工程学院,陕西 西安 710048; 2.中国科学院 西安光学精密机械研究所 ,陕西 西安 710119) 摘要 :在对靶场光电仪器进行外场数据分析时,需要对仪器接收到的多种数据信号进行采集,为提 高采集过程 中数字控制 系统 A/D转换效率 ,并简化数据采集 系统硬件 ,设计 了基于FPGA控制 AD7890芯片A/D转换和转换数据 串行输 出方案。介绍 了串行 多通道 A/D转换器 AD7890的工 作原理 ,给出了在 Xilinx公司的ISE10.1软件开发平台下程序设计和仿真图,并进行 了基于FP— GA器件 virtex一4处理器硬件开发平 台下的实验。实验表 明,FPGA 的控制是成功 的,AD7890 输 出的二进制值 010000000000和 001001100111与加入到输入端 的模拟 电压 5V和 3V完全一致 , 充分发挥 了12位 AD7890的高精度特点。 关键词 :串行多通道 A/D转换 ;FPGA;数据采集 系统 ;高精度 ;AD7890 中图分类号 :TP335 .1 文献标志码 :A Controldesign and experimentalresearchofhigh—speed serialAD converterbasedonFPGA YANG Dawei ,YANG Xiufang ,LIDawei,HAN Junfeng ,CHEN Jianhong (1.FacultyofMechanicalandPrecisionInstrumentEngineering,Xi’anUniversityofTechnology,Xi’an710048,China; 2.Xi’anInstituteofOpticsandPrecisionMechanics,ChineseAcademyofSciences,Xi’an710119,China) Abstract:Incarrying outtheanalysisofexternalfielddatabyusingaphotoelectricinstrument measuringrange,itisnecessarytocollectsignalsofvariouskindsfrom thephotoelectricinstru~ ment.Inordertoimprovecontrolsystem A/Dconversionefficiencyinthedigitalacquisition,and simplifythedataacquisitionsystem hardware.aschemeisdesignedonthebasisofFPGA tocon~ trolAD7890chipA/D conversionandconversion dataserialoutputsolution.Theserialmulti~ channelA/DconverterAD7890workingprincipleisintroduced.Thispapergivesprogramdesign andsimulation figureinXilinx’SISE 10.1softwaredevelopmentplatform andtheexperimentis carriedoutbasedon theVirtex~4FPGA devicesprocessorhardwaredevelopmentplatform.Ex~ perimentsshow thattheFPGA controlissuccessfu1.TheoutputbinaryvaluesofAD7890 and 010000000000and0

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档