SoC Encounter设计流程ppt.ppt

  1. 1、本文档共76页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
SoC Encounter设计流程ppt

SoC Encounter Design Flow SMIC 65nm process 2011-10-24 主要内容 1.Initial_design Design_import: 需要输入的内容包括: Verilog files: 芯片设计的顶层单元网表 Top cell name: 填写顶层单元名称 Timing library: 包括Max,Min工艺库延迟信息 LEF files: 所有单元的物理库信息文件 Timing constraint file: 时序分析约束文件(SDC) IO Assignment file: IO单元位置信息文件 IPO/CTS cell name/footprint: 时序分析/时钟优化所用单元名称 Power/Ground name: 电源/地名称(包括全局和局部) RC Extraction: RC提取所用包含寄生参数文件 SI Analysis: 信号完整性分析所用cdb文件 1.Initial_design Design_import: 第一次设计时,需要详细填写上述文件,填写完成以后,可以保存(save)为.conf文件,以备下次调用。设计中也可以调用(load)现成的配置文件(.conf)。如: 命令: loadConfig $conf_file 0 commitConfig 上述内容包括详细的工艺库信息和设计数据、约束信息等。 1.Initial_design ScanTrace: #### scan trace and generate original scan def defIn input/aes_chip.scan.def :读入初始扫描链 setAnalysisMode -asyncChecks noAsync :设置时序分析模式 set_global timing_apply_default_primary_input_assertion false set_global timing_clock_phase_propagation both setAnalysisMode -multipleClockPerRegister true setPlaceMode -reorderScan true setExtractRCMode -engine default scanTrace –verbose :扫描链检测和报告 defOutBySection -noComps -noNets –scanChains output/aes_chip_original.scan.def #### scan trace and generate original scan def :输出扫描链 另外还有specifyScanCell(指定扫描单元)和specifyScanChain(指定名称和输入输出端口)命令等。 1.Initial_design Load CPF file: CPF=common power format 内容包括: 参数设置(CPF文件版本及设置指定SDC文件);进行特殊单元定义和状态转换操作条件;定义工艺库组;生成功率域;指明每个功率域的各种工作模式和工艺角,并根据MMMC方式产生二者的各种可能组合,指明每种view所用的工艺库、SDC文件、电源/地连接及电源电压大小。具体内容及格式见CPF文件。 命令: loadCPF $cpf_file commitCPF 1.Initial_design MMMC: MMMC=multi-mode and multi-corner 即多角多模分析法 一般情况下有以下几种分析方法: Full MMMC(完全方法) 分析所有工作模式下的最好最坏角点。 Scenario MMMC(情景方法) 有时候,一些模式仅仅和部分角点有关系,如果使用full MMMC模式,会生成一些额外的模式-角点关系,需要进行额外的分析和优化,从而浪费时间和影响收敛,可以通过使用情景方法,把角点只和相关的模式绑定进行分析,使得设计更真实、更容易收敛。 Margin-Enhanced Method MMMC(容限增强方法) 首先使用MC方法生成基于两个角点的扩展容限,然后将所生成的容限添加到相关模式时序约束中,最后只使用MM方法进行分析后优化。 1.Initial_design MMMC: MMMC时序分析方法产生需要分析的各个view及产生分析结果的步骤如下: Create constraint mode 生成芯片每种功能模式(如:normal,setup

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档