VHDL第三章3.3.ppt

  1. 1、本文档共87页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL第三章3.3

1、基本门电路 2、编码器 设计一个 8 输入优先级编码器,y0 级别最低, y7 级别最高;输出为3位编码。 3-8译码器仿真结果: 加法器仿真结果: 三态门仿真结果: 比较:异步置位的锁存器(Latch) 2、寄存器 8位串行输入、串行输出移位寄存器: 移位寄存器仿真结果: 可逆计数器仿真结果: 60进制计数器仿真结果: 例:由8个触发器构成的行波计数器: 8 位行波计数器仿真结果: 4、序列信号发生器、检测器 1)序列发生器 3.9.3 存储器设计 1、 ROM 3.10 状态机的VHDL设计 状态机的分类: 摩尔型状态机(Moore) 米勒型状态机(Mealy) 3.10.1 摩尔状态机的VHDL设计 3.10.2 米勒状态机的VHDL设计 简洁的序列信号检测器: 2、SRAM 仿真结果: 2、触发器的复位信号描述 1)同步复位:在只有以时钟为敏感信号的 进程中定义。 如:process (clock_signal) begin if (clock_edge_condition) then if (reset_condition) then signal_out = reset_value ; else signal_out = signal_in ; ┇ end if ; end if ; end process ; 2)异步复位:进程的敏感信号表中除时钟信 号外,还有复位信号。 如:process (reset_signal, clock_signal) begin if (reset_condition) then signal_out = reset_value; elsif (clock_edge_condition) then signal_out = signal_in ; ┇ end if ; end process ; 二、常用时序电路设计 1、触发器(Flip_Flop) 1)D触发器 异步置位/复位D触发器 同步复位D触发器 2)T触发器 library ieee; use ieee.std_logic_1164.all; entity t_ff is port(t, clk : in std_logic; q : buffer std_logic); end t_ff; architecture rtl of t_ff is begin process(clk) begin if clk’event and clk=‘1’ then q=not q; end if; end process; end rtl; 方法一:8位移位寄存器的结构化描述 方法二:直接用信号连接描述 3、计数器 计数器分为:同步计数器 异步计数器 (1)同步计数器 同步计数器指在时钟脉冲(计数脉冲)的控 制下,构成计数器的各触发器状态同时发生变化 的计数器。 带允许端的十二进制计数器 可逆计数器(加减计数器) 例:六十进制(分、秒)计数器 (2)异步计数器 异步计数器又称为行波计数器,它的低位计 数器的输出作为高位计数器的时钟信号。 异步计数器采用行波计数,使计数延迟增加, 计数器工作频率较低。 描述异步计数器与

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档