华农珠江学院数字电子技术期末试卷2.docVIP

华农珠江学院数字电子技术期末试卷2.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
二进制数(101.01)2对应的十进制数是【 A 】。   A.(5.25)10   B.(5.1)10     C.(71.5)10   D.(5.2)10 将十六进制数(8FA.C6)16转换为二进制数是【 D 】。 A.(110111.111011001) 2 B.011100110001) 2 C.(11111 2 D.(100011111010 2 若输入变量A、B全为0时,输出F=1,则其输入与输出的关系是【 A 】。 A.异或 B.同或 C.与非 D.或非 一个逻辑函数,如果有3个变量,则有【 D 】最小项。 A.3 B.6 C.9 D.8 的最小项表达式是【 A】。 A.  B.   C. D. 测得某逻辑门输入A、B和输出Y的波形如下图,则Y(A,B)的表达式是【 A 】。 A.  B.  C.  D. 图示各个CMOS门电路输出端逻辑表达式的是 B 】。 A. B. C. D. 下图所示电路实现的逻辑功能表达式是【 B 】。 A. B. C. D. 对于数据分配器而言,若有四个数据输出端,则应有【 B 】个选择控制端。 A.1 B.2 C.3 D.4 下列电路中, 】不是时序电路。A. 计数器 B. 触发器 C. 寄存器 D. 译码器 C 】。 A.计数器和全加器 B.寄存器和比较器 C.全加器和比较器 D.计数器和寄存器 含有5个触发器的基本寄存器能存储一组【 A 】位的二进制代码。 A.5 B.10 C.1 D.25 用时钟触发器和门电路设计一个33进制计数器,至少需要【 C 】个触发器。 A.4 B.5 C.6 D.7 对于JK触发器,输入J=1,K=1,CP脉冲作用后,触发器的次态应为【 C 】。 A.0 B.1 C.翻转 D.不变 同步时序电路与异步时序电路比较,其差异在于后者【 B 】。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只于内部状态有关 数字电路按其功能划分,可分为两大类型:。 所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于该时刻的,而与电路的无关要将J-K触发器转换成T′触发器,J=,K=。 八位移位寄存器,串行输入时经个CP脉冲后,才能使八位数码全部移入寄存器中。用555定时器组成的占空比可调的多谐振荡器电路如图所示。已知:R1=R2=1kW,Rw=10kW,C=0.1mF,VCC=12V,D1、D2为理想二极管。(1) 占空比D的变化范围为。(2) 若想在输出端获得一个方波,电位器Rw应调节在 位置。 (3) 若调节RW使RA=4K,RB=8K。则uo的频率f。为Hz。 A、B、C是逻辑变量,若A+B=C+B,则A=C。 【 N 】 一个逻辑函数,则 。 【 Y 】 n个变量组成的全部最小项中,任意两个不同的最小项之积,值恒为0。 【 Y 】 数字信号在大小上不连续,时间上连续,而模拟信号则相反。 【 N 】 在JK触发器中,若J=K=1,则触发器处于保持状态。 【 N 】 时序逻辑电路结构上最大特点是一定包含有作为存储单元的触发器。 【 Y 】 摩尔型时序逻辑电路,其输出仅决定于

文档评论(0)

185****7617 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档